Index

Note: Page numbers followed by “f” and “t” refer, respectively, to figures and tables.

A

Alpha microprocessors, 338
Alternating direction TSV planning (ADVP) algorithm, 369, 369, 369–371
Always on decoupling capacitance topology, 555f
Amorphous-Si (a-Si), 40–41
Analytic placement for 3-D ICs, 236–239
Ansys quick three-dimensional electromagnetic field solver, 106
Application specific integrated circuit (ASIC), 606
Architectures, 3-D circuit
classification of wire limited circuits, 606–607
clock architecture
circuit architecture, 454–458
clock signal distribution
clock distribution network structures within test circuit, 458–463
clock distribution network topologies incorporating 3-D via impedance, 463–466
evaluation, 467–473
MITLL fabrication technology, 449–453
field programmable gate array (FPGA), 639–645
applications, 639–642
architecture, 642–643, 643
area, wirelength, and channel density, 644, 644t
interconnect delay, 644, 645f
power dissipation, 644
vertical integration advantages, 607, 643
microprocessor and memory circuits
cache memory design, 610–613
microprocessor logic blocks, 608–610
overview, 607, 607
3-D microprocessor–memory circuit, 613–614
networks-on-chip
advantages, 615, 615, 615, 615, 616, 616
design aids
evaluation under different traffic scenarios, 635–639
3-D networks-on-chip simulator, 633–635
performance and power analysis
interconnect parameters, 623–625
network parameters, 624–625
performance tradeoffs, 625–629
3-D integrated circuit–3-D networks-on-chip, 627–629
3-D integrated circuit–2-D networks-on-chip, 626–627
2-D integrated circuit–3-D networks-on-chip, 625–626
power consumption
overview, 621–623, 629–632
3-D integrated circuit–3-D networks-on-chip, 631–632
3-D integrated circuit–2-D networks-on-chip, 630–631
2-D integrated circuit–3-D networks-on-chip, 630
topologies, 616–617
zero-load latency, 617–621
overview, 605
Asynchronous data transmission and recovery, 145–147
Asynchronous inductive link, transceiver circuit of, 147f
Atomic layer deposition (ALD) processing, 181

B

Back-end-of-line (BEOL), 46, 46, 47–48, 58, 58, 304–305, 308–309
Benzocyclobutene (BCB), 358–359
copper embedding in, 26
Bonding of three-dimensional ICs, 53–55
BOSCH process, 60–61, 62, 63
Buffer delay model, 483–484
Buried oxide (BOX), 48
Burst data transmission, 148–149

C

Cache memory, 3-D design of, 610–613
CACTI tool, 225
Cadence Design Framework, 250, 452–453
Capacitance extraction for intertier via structure, 255, 256f, 257f, 258f
Capacitively coupled 3-D IC, 56, 56–57, 56–57, 56f, 57–58
Cascoded current mirrors, 457, 457f, 458f
Chemical mechanical planarization (CMP), 42
Clock distribution networks, three-dimensional, 423–440
prebond testable 3-D clock trees, synthesis techniques for, 431–440
standard synthesis techniques, 424–431
Clock paths, effects of process variations on, 482–495
clock skew distribution in 3-D clock trees, 487–490
delay distribution of clock paths, 484–487
skew variations in 3-D clock tree topologies, 491–495
statistical delay model of clock buffers, 483–484
Clock skew, interconnect prediction models, 172, 173t
Clock skew modeling, 462, 464f, 469–470, 470t, 471f
Clock tree synthesis (CTS) algorithms, 409–410, 410, 410–411
Closed-form temperature models, 303–309
Coaxial TSVs (CTSVs), 540, 540
Coefficient of thermal expansion (CTE), 51–52
Combined bucket and 2-D array (CBA), 335–336, 342
Communication fabrics, 651
Compact capacitance model
physical parameters of, 78–80
through silicon via capacitance, 78–79
through silicon via model including the effects of depletion region, 79–80
of three-dimensional via, 94–103
closed-form capacitance model of a three-dimensional via, 100–102
compact models of through silicon via capacitance, 95–100
per cent variation in capacitance, 103
per cent variation in coupling capacitance, 103
Compact conductance model
physical parameters of, 81–82
through silicon via conductance, 81–82
of three-dimensional via, 104–105
compact models of through silicon via conductance, 105
Compact inductance model
physical parameters of, 75–78
high frequency effects, 76
internal and external magnetic field, 76
loop inductance, 77–78
through silicon via inductance, 75–76
of three-dimensional via, 85–94
compact models of through silicon via inductance, 85–91
inductance of equal length three-dimensional vias, 91–92
inductance of nonequal length 3-D vias, 92–93
per cent variation in inductance, 93–94
Compact resistance model
physical parameters of, 73–75
effect of barrier thickness on resistivity, 74
high frequency effects, 73–74
temperature effect, 74–75
through silicon via resistance, 73
of three-dimensional via, 82–85
closed-form resistance model of a three-dimensional via, 85–94
compact models of through silicon via resistance, 82–83
per cent variation in resistance, 85
Complementary metal oxide semiconductor (CMOS), 1
Computer-aided design (CAD)
algorithm and tool challenges, 11
COMSOL, 315, 320
Contactless 3-D ICs, 55–58
capacitively coupled 3-D ICs, 56–57
inductively coupled 3-D ICs, 57–58
Copper electroplating, 63
Crossbar switch, 455, 457
control logic for, 457
Crosstalk noise on adjacent on-chip components, 152–158
case study, 153–155
crosstalk effects due to an inductive link, 152–153
inductive link arrays, crosstalk noise effects caused by, 155
noise sensitivity of power network topologies, 155–158
Cylinder, modeling a three-dimensional via as, 71–73

D

DC pads, 576–577
Decoupling capacitance, 566, 585–586, 593f, 596f, 598f
for 3-D power distribution networks, 550–556
Deferred-merge embedding (DME) technique, 410, 413–417, 415–417, 416f
Delay variability model for 2-D and 3-D circuits, 479f
Design, three-dimensional integrated circuits
cache memory design, 610–613
interconnect challenges in 3-D integration, 10
system-on-package challenges, 19, 20, 20, 20
Die to die (D2D) variations, 477, 477–478, 478, 482, 482, 495
Dielectric materials, system-on-package, 20–21
Die-to-die integration, 51–52
Distributed Elmore delay model, 259, 268
Double-gate metal oxide semiconductor field effect transistors for stacked 3-D ICs, 42–44
Dynamic frequency voltage scaling (DVFS), 346, 348, 348–349, 376–377, 378
Dynamic power consumption, interconnect line with repeaters, 621–622
Dynamic thermal management (DTM), 345–358
SW/HW thermal management framework for 3-D chip multiprocessor, 349–358
of 3-D chip multiprocessors
with a single memory tier, 347–349
with multiple memory tiers, 353–358

E

eDRAM, 421
Electrostatic discharge (ESD) diodes, 421
Electro-thermal model of power distribution networks, 536–540, 537f
Elmore delay model, 282, 285, 484
distributed, 268
of intertier interconnect, 257–260
Energy delay product (EDP), 357
Enhanced thermal conductivity, thermal management through, 358–374
multi-level routing, 368–372, 368f
thermal via planning under temperature constraints, 367–368
thermal via planning under temperature objectives, 360–366
thermal wire insertion, 372–374
Environmental variability, 475
Epitaxial lateral overgrowth (ELO), 42

F

Fabrication steps for a 3-D IC process, 49f
Fabrication techniques for 3-D ICs, 50t
Field programmable gate array (FPGA), 8
applications, 639–642
architecture, 642–643, 643
area, wirelength, and channel density, 644, 644t
interconnect delay, 644, 645f
power dissipation, 644
vertical integration advantages, 607, 643
Finite element method (FEM) solver, 317
Finite element method (FEM) techniques, 105
Fixed outline algorithms, 204, 204, 219
Floorplanning 3-D circuits without through silicon via planning, 209–214, 211f
Floorplanning techniques, 204–208
sequence pair technique, 205–208
thermal driven, 334–344
Floorplanning techniques for 3-D ICs with through silicon via planning, 214–226
enhanced wirelength metrics for intertier interconnects, 214–216
microarchitecture aware 3-D floorplanning, 225–226
practical considerations for floorplanning with through silicon via planning, 221–224
simultaneous floorplanning and through silicon via planning, 216–219
through silicon via planning as a postfloorplanning step, 219–221
Force directed method
placement using, 226–230
three stage floorplanning process based on, 339, 340f, 341
Force directed placement of 3-D ICs, 231–234
Four-bit counters, 457
Free outline technique, 204
Front end of line (FEOL), 58
Fully depleted silicon-on-insulator (FDSOI)
fabrication, 449–450, 450–451, 450f, 454t, 454t
3-D circuits, 582–583

G

Gallium arsenide (GaAs), 120
Gate pairs, enumeration in 3-D integrated circuit, 653–654, 653, 653–654, 654, 654
Gaussian distribution, 484, 487
GENESYS tool, 225
Germanium, 120, 123–124, 124, 124
Global 3-D clock distribution networks, 417–422
Global interconnect models, 489
GLOPTIPOLY optimization solver, 274
Green’s function, 328–329
Ground network inductance, 127–128
Ground-signal-ground (GSG) output pads, 582
Ground-signal-ground-signal-ground (GSGSG), 577

H

Half perimeter wirelength (HPWL) model, 206–207, 340, 428
Heat propagation, 369
Heat transfer in 3-D ICs, 296–303
liquid cooling, 298–303
design considerations for liquid cooled heat sinks, 300–303
Heterogeneous 3-D integrated circuit, 120f
Heterogeneous substrate coupling, 120–124
common circuits and compatible substrate types, 120
noise model reduction for different substrate materials, 121–124
resistive properties of different substrate materials, 121
hMETIS algorithm, 210–212
HotSpot simulator, 403, 407
HP 16058-60003 Personality Board, 385–386
HP 4145B Semiconductor Parameter Analyzer, 385–386
Hybrid methodologies for thermal management, 375–378

I

Inductive links, three-dimensional ICs with, 137
intertier power transfer, 158–161
on-chip inductors for intertier links, 140–143
design flow for inductive link coils, 143
geometry and electrical characteristics of inductor, 142–143
intertier coupling efficiency, 140–142
transmitter and receiver circuits, 143–149
asynchronous data transmission and recovery, 145–147
burst data transmission, 148–149
design of synchronous inductive link transceivers, 143–145
wireless on-chip communication, challenges for, 149–158
adjacent on-chip components, crosstalk noise on, 152–158
case study, 153–155
crosstalk effects due to an inductive link, 152–153
inductive link arrays, crosstalk noise effects caused by, 155
noise sensitivity of power network topologies, 155–158
inductive links, crosstalk between, 151–152
performance and area analysis, 149–151
wireless on-chip communication interfaces, 138–140
inductive links, 138–140
Inductively coupled three-dimensional ICs, 57–58, 57f
Instructions per cycle (IPC), 349–350, 350
Intel Core i5 technology, 357
Interactive Characterization Software, 385–386
Interconnect delay
improvement in, 264–266
intertier, 260–261
Interconnect issues in integrated systems, 4–6
Interconnect tree via placement algorithm (ITVPA), 287
pseudocode of, 287f
Interconnects
architectures, 4–5
design challenges in 3-D integration, 10
optical interconnects, 6
pipelining, 5–6
prediction models
overview, 163, 163
projections for 3-D integrated circuits, 170–173
3-D integrated circuits, 166–170
2-D circuits, 163–166
shielding, 5
system-in-package vertical interconnects
area array, 26–27
peripheral, 23–26
Interdigitated power/ground lines, 566–567
Interlayer dielectric (ILD), 46–47
International Technology Roadmap for Semiconductors (ITRS), 2, 489
Internet of Things (IoT), 3, 3
Interposer based system integration, 188–191
interposer build-up configurations, 191
interposer manufacturing features, cost of, 189–191
cost of die-to-die interconnect processing, 190
cost of processing metal planes and metal–insulator–metal capacitors, 190–191
cost of processing microbumps and Cu pillars, 191
cost of through silicon via processing, 189–190
Intertier coupling efficiency, 140–142
Intertier interconnect delay, 260–261
Intertier interconnect models, 254–255
Intertier interconnect tree, 282f
timing driven via placement for, 282–284
Intertier interconnects, enhanced wirelength metrics for, 214–216
Intertier power transfer, 158–161
Inverse Laplace transform, 533
Inverter, 16, 16f

J

JMOS inverter, 7, 7f

K

Keep out zone (KOZ) of TSVs, 234–235
Keithley 2420 SourceMeter, 385–386
Known good die (KGD), 26–27, 27–28, 28

L

Laplace multipliers, 352
Laser crystallization, 39–41
Leakage power, interconnect line with repeaters, 622
Liquid cooled heat sinks, design considerations for, 300–303
Liquid cooling, 298–303
Logic block (LB), field programmable gate array, 639–642, 642–643
Low temperature oxide (LTO), 41–42
Low density parity check (LDPC) decoder, 245

M

Manhattan circle, 165, 166, 168
Manhattan distance, 165
Manhattan spheres, 166, 166–167
Manufacturing technologies for 3-D ICs, 37
contactless 3-D ICs, 55–58
capacitively coupled 3-D ICs, 56–57
inductively coupled 3-D ICs, 57–58
monolithic 3-D ICs, 38–48
double-gate metal oxide semiconductor field effect transistors, 42–44
laser crystallization, 39–41
molecular bonding, 45–48
seed crystallization, 41–42
through silicon via (TSV)/intertier via, 3-D ICs with, 48–55
bonding of 3-D ICs, 53–55
die-to-die integration, 51–52
wafer level integration, 48–51
vertical interconnects for 3-D ICs, 58–64
Maxwell’s equations, 105
Means-and-medians (MMM)-TB algorithm, 513–514
Mercury cadmium telluride (HgCdTe), 120
Mesh based thermal models, 310–324
thermal model of through silicon vias, 313–321
signal through silicon vias, 320–321
thermal through silicon vias, 313–320
thermal models of microchannels for liquid cooling, 321–324
Metal oxide semiconductor field effect transistors (MOSFETs), 1, 1, 38–39, 304–305
Metal–insulator–metal (MIM) capacitors, 521–522
Metalorganic chemical vapor deposition (MOCVD), 63
Metal-to-metal bonding, 53–54, 54f
Method of means and medians (MMM) algorithm, 410, 411–412, 412f, 424–425, 425
MMM-half perimeter wirelength (HPWL) algorithm, 428, 438
MMM-TB algorithm, 426–427, 426f, 427–428, 428, 433, 441–442, 444
MMM-TSV-Bound algorithm, 425
Method of moments (MOMs), 105
Microarchitecture aware 3-D floorplanning, 225–226
Microvias, 19
Minimum spanning tree (MST), 233
MIT Lincoln Laboratories (MITLL) 3-D technology, 254, 527–528
MITLL fabrication technology, 449–453
design kits for, 452–453
MIT Lincoln Laboratory 3-D IC process, through silicon via characterization of (case study), 107–116, 108–109
effect of the return path on 3-D via inductance, 114–116
effects of 3-D via placement on shielding, 111–114
RLC coupling between two 3-D vias, 109–111
RLC extraction of a single 3-D via, 109
Molecular bonding, 45–48
Monolithic three-dimensional ICs, 38–48
double-gate metal oxide semiconductor field effect transistors, 42–44
laser crystallization, 39–41
molecular bonding, 45–48
seed crystallization, 41–42
Monte Carlo simulations, 484
“More Moore” path, 2
“More than Moore” path, 2
Multi-group 3-D clock topology, 493f
Multi-level interconnect architectures, 4–5
Multi-level power delivery for 3-D ICs, 526–530, 527f, 530f
Multi-level routing, 368–372, 368f

N

Networks-on-chip (NoC) architecture, 342
advantages, 615, 615, 615, 615, 616, 616
design aids
evaluation under different traffic scenarios, 635–639
3-D networks-on-chip simulator, 633–635
performance and power analysis
interconnect parameters, 623–625
network parameters, 624–625
performance trade-offs, 625–629
3-D IC–2-D networks-on-chip, 626–627
3-D IC–3-D networks-on-chip, 627–629
2-D IC–3-D networks-on-chip, 625–626
power consumption
overview, 621–623, 629–632
3-D integrated circuit–2-D networks-on-chip, 630–631
3-D integrated circuit–3-D networks-on-chip, 631–632
2-D integrated circuit–3-D networks-on-chip, 630
topologies, 616–617
zero-load latency, 617–621
NMOS synchronous rectifier, 521–522
Noise coupling, in heterogeneous 3-D ICs, 119
frequency response, 124–127
isolation efficiency, 124
transfer function, 124–127
heterogeneous substrate coupling, 120–124
common circuits and compatible substrate types, 120
noise model reduction for different substrate materials, 121–124
resistive properties of different substrate materials, 121
techniques to improve noise isolation, 127–134
distance between aggressor and victim, 128–134
ground network inductance, 127–128
Nonconductive particle paste, 27
Normalized average power consumption (NAPC), 277–278
Nusselt number, 301–302

O

On-chip decoupling capacitances, 550–551
On-chip inductors for intertier links, 140–143
geometry and electrical characteristics of inductor, 142–143
inductive link coils, design flow for, 143
intertier coupling efficiency, 140–142
Outsourced semiconductor assembly and test (OSAT) fabrication compatible tool, 178

P

Pairwise clock skew, 483
Performance improvement (PI), 356
Performance loss (PL), 356
Phase locked loop (PLL), 421, 495
Physical design techniques for 3-D ICs, 203
floorplanning 3-D circuits without through silicon via planning, 209–214, 211f
floorplanning techniques, 204–208
sequence pair technique, 205–208
floorplanning techniques for 3-D ICs with through silicon via planning, 214–226
enhanced wirelength metrics for intertier interconnects, 214–216
microarchitecture aware 3-D floorplanning, 225–226
practical considerations for floorplanning with through silicon via planning, 221–224
simultaneous floorplanning and through silicon via planning, 216–219
through silicon via planning as a postfloorplanning step, 219–221
layout tools, 250–251
routing techniques, 245–250
Physical vapor deposition (PVD), 63
Placement in 3-D ICs, 226–230, 230–245
analytic placement for 3-D ICs, 236–239
force directed placement of 3-D ICs, 231–234
placement for 3-D ICs using simulated annealing, 239–241
placement process, objectives in, 234–235
supercell-based placement for 3-D circuits, 241–245
using force directed method, 226–230
Planar clock distribution networks, synthesis techniques for, 410–417
deferred-merge embedding method, 413–417
method of means and medians (MMM) algorithm, 411–412
Plasma enhanced atomic layer deposition (PEALD) oxide deposition approach, 179, 180
Plasma enhanced chemical vapor deposition (PECVD), 32
Point contact transistor, historical perspective, 1
Polylithic 3-D ICs, 38
Postfloorplanning step, through silicon via planning as, 219–221
“Power blurring” technique, 328–329, 328f, 329
Power consumption
interconnect prediction models, 172, 173t
networks-on-chip
overview, 621–623, 629–632
3-D integrated circuit–2-D networks-on-chip, 630–631
3-D integrated circuit–3-D networks-on-chip, 631–632
2-D integrated circuit–3-D networks-on-chip, 630
Power delivery and distribution for 3-D ICs, 519, 523f, 565
decoupling capacitance for, 550–556
power gated 3-D ICs, 552–556
power delivery challenge, 521–530
multi-level power delivery for 3-D ICs, 526–530
3-D power distribution networks, models for, 530–540
electro-thermal model, 536–540
through silicon via (TSV) technologies to mitigate power supply noise, 540–550
effect of TSV tapering on power distribution networks, 549–550
enhanced power integrity by exploiting TSV paths, 545–548
wire sizing methods in, 557–561
Power delivery network topologies, 157f
Power density reduction, thermal management through, 334–358
dynamic thermal management (DTM) techniques, 345–358
SW/HW thermal management framework, 349–358
of 3-D chip multiprocessors with a single memory tier, 347–349
of 3-D chip multiprocessors with multiple memory tiers, 353–358
thermal driven floorplanning, 334–344
thermal driven placement, 344–345
Power distribution networks
effect of TSV tapering on, 549–550
models for, 530–540, 531f
electro-thermal model, 536–540, 537f
optimization framework for, 561f
Power distribution topologies and models, 565, 567f
characteristics of, 588–602
design considerations based on experimental results, 593–602
pre-layout design considerations, 590–593
experimental results, 584–588
noise generation circuits, 573f, 575f, 579–581, 580f, 581
source follower noise detection circuits, 590f
test circuit, 566–583, 569f
architecture, 578–582
layouts and schematics of 3-D test circuit, 567–577
3-D IC fabrication technology, 582–583
3-D power topologies, 566–567
wire bonded test circuit, 589f
Power gated 3-D ICs
decoupling capacitance topologies for, 552–556
Power supply noise in 3-D circuits, 495, 495
skitter versus phase and frequency of, 507–512
Prandtl number, 301–302
Prebond aware CTS (PBA-CTS) technique, 433, 434–435, 435
Prebond testable 3-D clock trees, synthesis techniques for, 431–440
Printed circuit board (PCB), 19, 23–24, 27–28, 27, 28, 325–326, 519–520
Process variations in datapaths within 3-D ICs, 477–482
Processing elements (PEs), 342
Processing heterogeneity, 3
Pseudorandom number generators (PRNG), 454, 456f, 568
PTM 45 nm CMOS, 489

R

Reactive ion etching (RIE), 39
Rectilinear minimum spanning tree (RMST) algorithm, 434–435
Redistribution layers (RDL), 29
Rent’s rule, 163–164, 164–165, 169
Resistive noise, 520
Reynolds number, 301–302, 302
RF pads, 568–569
Rosa expressions, 91–92

S

Seed crystallization, 41–42
Selective epitaxial growth (SEG), 42
Sequence pair technique, 205–208
Sequential 3-D ICs, 38
Setup skitter, 500, 502
Shielding, 5
Short-circuit power, interconnect line with repeaters, 622
Silicon, 120
Silicon system, 3-D, 649
Silicon-on-insulator (SOI) substrate, 38
via placement algorithm, 273
Simulated annealing (SA) methods, 207, 336, 338
placement for 3-D ICs using, 239–241
Simulation based models, 533
Single critical sink interconnect tree via placement algorithm (SCSVPA), 288, 288f
“Sink TSVs”, 494
Skitter related tradeoffs in 3-D ICs, 503–512
skitter versus length of clock paths, number of tiers, and power dissipation, 503–507
skitter versus phase and frequency of power supply noise, 507–512
SPICE based simulations, 122–123, 124, 128, 496
SPICE measurements, 262, 262, 262f
Stacking methods for transistors, circuits, and dies, 16–18
Steady-state temperature (SST), 352
Substrate noise coupling, See Noise coupling, in heterogeneous 3-D ICs
Sulphurhexafluoride, 60–61
Supercell-based placement for 3-D circuits, 241–245
Switch box (SB), field programmable gate array, 639–642, 642–643
Synchronization in 3-D ICs, 409, 521
global 3-D clock distribution networks, 417–422
planar clock distribution networks, synthesis techniques for, 410–417
deferred-merge embedding method, 413–417
method of means and medians (MMM) algorithm, 411–412
practical considerations of 3-D clock tree synthesis, 440–446
prebond testable 3-D clock trees, synthesis techniques for, 431–440
standard synthesis techniques, 424–431
further reduction of through silicon vias in synthesized clock trees, 428–431
Synchronous inductive link transceivers, design of, 143–145, 146f
Synthesized clock trees, effect of skitter on, 512–516, 513f
System-in-package (SiP), 37, 358–359
metalizing of walls, 27–28
overview, 17
technologies for, 21–28
vertical interconnect manufacturing
area array, 26–27
peripheral, 23–26
wire bonding, 22–23
System-on-chip (SoC), 15–16
System-on-package (SoP), 18–21
dielectric materials, 20–21
manufacturing and design challenges, 19
microvias, 19
subsystems, 19

T

Tape adhesive bonding (TAB), 23–24
T-connection, 27–28
Tetraethylorthosilicate (TEOS) oxide, 179
Thermal analysis techniques, 324–329
Thermal aware floorplanning, 334, 361, 363, 364t
Thermal coupling in 3-D integrated circuits (case study), 381
design considerations based on experimental results, 395–401
additional design considerations, 401
effect of block placement on hot spot formation and mitigation techniques, 395
horizontal and vertical thermal conduits, 395–399
multiple aligned active blocks, 399
multiple nonaligned active blocks, 399–401
setup and experiments, 384–394
thermal propagation test circuit, 382–384
3-D IC fabrication technology, 383
3-D test circuit, 383–384
verification of experimental results with simulations, 401–408
comparison to experimental results, 403–407
effect of density of TSVs on thermal coupling, 407–408
simulation setup and tools, 403
Thermal hot spots, 381, 381–382
Thermal management strategies for 3-D ICs, 333, 650
enhanced thermal conductivity, thermal management through, 358–374
multi-level routing, 368–372, 368f
thermal via planning under temperature constraints, 367–368
thermal via planning under temperature objectives, 360–366
thermal wire insertion, 372–374
hybrid methodologies, 375–378
power density reduction, thermal management through, 334–358
dynamic thermal management (DTM) techniques, 345–358
SW/HW thermal management framework, 349–358
of 3-D chip multiprocessors with a single memory tier, 347–349
of 3-D chip multiprocessors with multiple memory tiers, 353–358
thermal driven floorplanning, 334–344
thermal driven placement, 344–345
Thermal modeling and analysis, 295
closed-form temperature models, 303–309
heat transfer in 3-D ICs, 296–303
liquid cooling, 298–303
design considerations for liquid cooled heat sinks, 300–303
mesh based thermal models, 310–324
thermal model of through silicon vias, 313–321
signal through silicon vias, 320–321
thermal through silicon vias, 313–320
thermal models of microchannels for liquid cooling, 321–324
thermal analysis techniques, 324–329
Thermal resistance, 298–299
Thermal through silicon vias (TTSVs), 295–296, 313, 313, 316f, 317, 318f, 321, 359, 360, 360–361, 361, 361, 361, 361–363, 363, 363, 363, 363, 363–365, 365, 365, 367, 368, 372, 372, 372, 381
Thermal wire insertion, 372–374
Thermal-wake effect, 323–324, 323–324, 324f
Thevenin network, 549
Thin film transistors (TFTs), 41
3-D clock distribution networks, 417–422, 495–516
delay variation of buffer stages, 496–500
model of skitter in 3-D clock trees, 500–503
skitter related tradeoffs in 3-D ICs, 503–512
synthesis of, 423–440
synthesized clock trees, effect of skitter on, 512–516
3-D clock tree topologies, skew variations in, 491–495
Three-dimensional integration
architecture, See Architectures, 3-D circuit
challenges
computer-aided design algorithms and tools, 11
global interconnect design, 10
technological/manufacturing limitations, 9
testing, 9–10
thermal issues, 10
comparison of 3-D system cost, 195–197
components of 3-D stacked system, 193–194
cost of 3-D integration components, 194–195
dependence of 3-D system cost on active die size, 197–198
features and opportunities, 7–8
historical perspective, 6–7, 7, 7
MITLL fabrication technology, 449–453
variation of interposer process yield and prestack fault coverage, 198–200
vertical integration, 6–11
Three tier FDSOI 3-D circuit, 255f
Through glass vias (TGVs), 32
Through silicon via (TSV), electrical properties of, 67
compact capacitance model of a 3-D via, 94–103
closed-form capacitance model of a 3-D via, 100–102
compact models of through silicon via capacitance, 95–100
per cent variation in capacitance, 103
per cent variation in coupling capacitance, 103
compact capacitance models, physical parameters of, 78–80
through silicon via capacitance, 78–79
through silicon via model including the effects of the depletion region, 79–80
compact conductance model of a 3-D via, 104–105
compact models of through silicon via conductance, 105
compact conductance models, physical parameters of, 81–82
through silicon via conductance, 81–82
compact inductance model, 85–94
closed-form inductance model, 91–93
inductance of equal length 3-D vias, 91–92
inductance of nonequal length 3-D vias, 92–93
compact models of through silicon via inductance, 85–91
per cent variation in inductance, 93–94
compact inductance models, physical parameters of, 75–78
high frequency effects, 76
internal and external magnetic field, 76
loop inductance, 77–78
through silicon via inductance, 75–76
compact resistance model of a 3-D via, 82–85
closed-form resistance model of a 3-D via, 85–94
compact models of through silicon via resistance, 82–83
per cent variation in resistance, 85
compact resistance models, physical parameters of, 73–75
effect of barrier thickness on resistivity, 74
high frequency effects, 73–74
temperature effect, 74–75
through silicon via resistance, 73
electrical characterization through numerical simulation, 105–107
Ansys quick three-dimensional electromagnetic field solver, 106
numerical analysis of through silicon via impedance, 106–107
electrical model, 70–71
modeling a three-dimensional via as a cylinder, 71–73
physical characteristics, 69–70
through silicon via characterization of MIT Lincoln Laboratory three-dimensional IC process (case study), 107–116, 108–109
effect of the return path on 3-D via inductance, 114–116
effects of 3-D via placement on shielding, 111–114
RLC coupling between two 3-D vias, 109–111
RLC extraction of a single 3-D via, 109
Through silicon via (TSV)/intertier via, 3-D ICs with, 48–55
bonding of 3-D ICs, 53–55
die-to-die integration, 51–52
wafer level integration, 48–51
Through silicon via (TSV) processing options, 176–188
comparison of TSV processing cost, 186–188
processing of 10×100 TSV geometry, 187
processing of 5×50 TSV geometry, 187
scaling through silicon via geometries, 187–188
cost comparison of TSV processing steps, 178–186
backside processing, 185–186
TSV barrier and Cu seed processing, 181–182
TSV chemical mechanical planarization processing, 184–185
TSV Cu plating and effect on chemical mechanical planarization, 182–184
TSV liner opening for TSV last flow, 180
TSV liner processing, 179–180
TSV lithography, 178
TSV silicon etch, 178–179
TSV flows and geometries, 177
Through silicon via (TSV) technologies, 16, 32–33, 32, 60–61, 253, 295–296, 299–300, 302, 302, 312, 313, 449–450, 491, 491, 494, 520–521, 539–540, 566, 586–587, 590–591, 650
effect of TSV tapering on power distribution networks, 549–550
enhanced power integrity by exploiting TSV paths, 545–548
equivalent electrical model of, 466f
to mitigate power supply noise, 540–550
overview, 16
Through silicon via impedance, numerical analysis of, 106–107
Tilted rectangular region (TRR), 413–414, 414, 414f, 415–417, 416f, 417
Timing optimization, for multiterminal interconnects
multiterminal interconnect via placement heuristics, 284–287
interconnect trees, 285–286
single critical sink interconnect trees, 286–287
notation, 283t
timing driven via placement for intertier interconnect trees, 282–284
via placement algorithms for interconnect trees
interconnect tree via placement algorithm (ITVPA), 287
optimization outcomes and comparison, 288–292
single critical sink interconnect tree via placement algorithm, 288
Timing optimization, for two terminal interconnects
intertier interconnect models, 254–255
multiple-intertier vias, two terminal interconnects with, 266–278
distributed Elmore delay model, 268
GLOPTIPOLY optimization solver, 274
overview, 266, 266–267, 267, 267, 267, 268, 268, 268, 269, 269
two terminal via placement
algorithm, 272
heuristic, 269–272
via placement algorithm, 273–278
YALMIP optimization solver, 274, 275
overview, 253, 253–254
two terminal interconnects with multiple interplane vias
two terminal via placement
proof for two terminal via placement heuristic, 657, 657, 657, 657, 657–658, 658
two terminal nets with a single intertier via, 256–266
Elmore delay model of intertier interconnect, 257–260
improvement in interconnect delay, 264–266
intertier interconnect delay, 260–261
optimum via location, 261–264
Timing optimization, for multiterminal interconnects
proof of placement for via placement of multiterminal nets, 659, 659, 659, 659, 659, 659, 660f
via placement algorithms for interconnect trees
single via placement optimum formal proof, 655
Total thickness variation (TTV), 30–31, 50, 52
Transistor, historical perspective, 1, 1, 1, 2
Transistor and circuit level stacking, 17–18
TSV fault tolerant component (TFC), 445, 445
Two-dimensional power distribution networks, 565
Two-terminal via placement algorithm (TTVPA), 272, 274, 275, 277–278
2.5-D and three-dimensional integration, comparison of processing cost for, 192–200
comparison of 3-D system cost, 195–197
components of 3-D stacked system, 193–194
cost of 3-D integration components, 194–195
dependence of 3-D system cost on active die size, 197–198
variation of interposer process yield and prestack fault coverage, 198–200
2.5-D integration, technologies for, 15–16, 29–34
interposer materials, 30–31
metallization processes, 31–32
vertical interconnects, 32–34

V

Variability issues in 3-D ICs, 475
effect of process and power supply variations on 3-D clock distribution networks, 495–516
delay variation of buffer stages, 496–500
effect of skitter on synthesized clock trees, 512–516
model of skitter in 3-D clock trees, 500–503
skitter related tradeoffs in 3-D ICs, 503–512
effects of process variations on clock paths, 482–495
clock skew distribution in 3-D clock trees, 487–490
delay distribution of clock paths, 484–487
skew variations in 3-D clock tree topologies, 491–495
statistical delay model of clock buffers, 483–484
process variations in datapaths, 477–482
Vertical integration, 6–11
Vertical interconnects for 3-D ICs, 58–64
Voltage regulation module (VRM), 519–520

W

Wafer bonding, materials used for, 54t
Wafer level integration, 48–51
Wavelength division multiplexing (WDM), 6
WBottom sensor, 395
Wire bonding, system-in-package, 22–23
Wire sizing algorithm, 277
Wire sizing methods in 3-D power distribution networks, 557–561
Wire variations
extension of the proposed model to include, 663, 663, 663, 664, 664, 664
Wireless interchip communication, 137–138
Wireless on-chip communication, challenges for, 149–158
crosstalk between inductive links, 151–152
crosstalk noise on adjacent on-chip components, 152–158
case study, 153–155
crosstalk effects due to an inductive link, 152–153
inductive link arrays, crosstalk noise effects caused by, 155
noise sensitivity of power network topologies, 155–158
performance and area analysis, 149–151
Wireless on-chip communication interfaces, 138–140
inductive links, 138–140
Within-die (WID) variations, 477, 477–478, 478, 479–480, 482, 482
correlation of, for intratier buffers, 661, 661, 661, 662f

X

Xilinx field programmable gate arrays (FPGAs), 8
X-tree, 417

Y

YALMIP optimization solver, 274, 274, 275, 275
..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset