References

1. Noyce RN. Microelectronics. Scientific American. September 1977;237(3):62–69.

2. Weiner C. How the Transistor Emerged. IEEE Spectrum. January 1973;10(1):24–33.

3. Mack C. The Multiple Lives of Moore’s Law. IEEE Spectrum. April 2015;52(4):31–37.

4. Su L. Architecting the Future Through Heterogeneous Computing. IEEE Solid-State Circuits Magazine. Spring 2013;5(2):63–72.

5. Wu X, et al. Stacked 3-D Fin-CMOS Technology. IEEE Electron Device Letters. June 2005;26(6):416–418.

6. Planes N, et al. 28nm FDSOI Technology Platform for High-Speed Low-Voltage Digital Applications. Proceedings of the IEEE Symposium on VLSI Technology June 2012;133–134.

7. Dennard RH. Past Progress and Future Challenges in LSI Technology: From DRAM and Scaling to Ultra-Low-Power CMOS. IEEE Solid-State Circuits Magazine. Spring 2015;7(2):29–38.

8. Borkar S, Chien AA. The Future of Microprocessors. Communications of the ACM. May 2011;54(5):67–77.

9. [Online] Gartner, Available: http://www.gartner.com/newsroom/id/2954317.

10. [Online] International Technology Roadmap for Semiconductors ITRS, Available: http://www.itrs2.net/uploads/4/9/7/7/49775221/irc-itrs-mtm-v2_3.pdf.

11. Kogge P, Shalf J. Exascale Computing Trends: Adjusting to the “New Normal” for Computer Architecture. Computing in Science & Engineering. November/December 2013;15(6):16–26.

12. Assunçãoa MD, et al. Big Data Computing and Clouds: Trends and Future Directions. Journal of Parallel and Distributed Computing. May 2015;79–80:3–15.

13. Chun KC, et al. A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory. IEEE Journal of Solid-State Circuits. February 2013;48(22):598–610.

14. Lapidus G. Transistor Family History. IEEE Spectrum January 1977;34–35.

15. Chen KA. Computer Aided Memory Design Using Transmission Line Models. IEEE Transactions on Computers. July 1968;C-17(7):640–648.

16. Noyce RN. Large Scale Integration: What is Yet to Come. Science Magazine. March 1977;195(4283):1102–1106.

17. Saraswat KC, Mohammadi F. Effect of Scaling of Interconnections on the Time Delay of VLSI Circuits. IEEE Transactions on Electron Devices. April 1982;ED-29.

18. [Online] International Technology Roadmap for Semiconductors ITRS, 2005 Edition. Available: http://www.itrs2.net.

19. Akrout C, et al. A 480-MHz RISC Microprocessor in a 0.12-μm Leff CMOS Technology with Copper Interconnects. IEEE Journal of Solid-State Circuits. November 1998;33(11):1609–1616.

20. Allen DH, et al. A 0.2 μm 1.8 V SOI 550 MHz 64 b Power PC Microprocessor with Copper Interconnects. Proceedings of the IEEE International Solid-State Circuits Conference February 1999;438–439.

21. Naik M, et al. Process Integration of Double Level Copper-Low k (k=2.8) Interconnect. Proceedings of the IEEE International Interconnect Technology Conference May 1999;181–183.

22. Zarkesh-Ha P, et al. The Impact of Cu/Low k on Chip Performance. Proceedings of the IEEE International ASIC/SoC Conference September 1999;257–261.

23. Takao Y, et al. A 0.11 μm Technology with Copper and Very-Low-k Interconnects for High Performance System-on-Chip Cores. Proceedings of the IEEE International Electron Device Meeting December 2000;559–562.

24. Meindl JD. Interconnect Opportunities for Gigascale Integration. IEEE Micro. May/June 2003;23(3):28–35.

25. Venkatesan R, Davis JA, Bowman KA, Meindl JD. Optimal n-Tier Interconnect Architectures for Gigascale Integration (GSI). IEEE Transactions on Very Large Integration (VLSI) Systems. December 2001;9(6):899–912.

26. Lepak KM, Luwandi I, He L. Simultaneous Shield Insertion and Net Ordering Under Explicit RLC Noise Constraint. Proceedings of the IEEE/ACM Design Automation Conference June 2001;199–202.

27. Fishburn P. Shaping a VLSI Wire to Minimize Elmore Delay. Proceedings of the IEEE European Design and Test Conference March 1997;244–251.

28. El-Moursy MA, Friedman EG. Exponentially Tapered H-Tree Clock Distribution Networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. August 2005;13(8):971–975.

29. Bakoglu HB, Meindl JD. Optimal Interconnection Circuits for VLSI. IEEE Transactions on Electron Devices. May 1985;ED-32(5):903–909.

30. Ismail YI, Friedman EG, Neves JL. Exploiting On-Chip Inductance in High Speed Clock Distribution Networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2001;9(6):963–973.

31. Adler V, Friedman EG. Uniform Repeater Insertion in RC Trees. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications. October 2000;47(10):1515–1523.

32. Ghoneima M, et al. Reducing the Effective Coupling Capacitance in Buses Using Threshold Voltage Adjustment Techniques. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications. September 2006;53(9):1928–1933.

33. Stan MR, Burleson WP. Bus-Invert Coding for Low-Power I/O. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. March 1998;3(1):49–58.

34. Bashirullah R, Wentai L, Cavin III RK. Current-Mode Signaling in Deep Submicrometer Global Interconnects. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. June 2003;11(3):406–417.

35. Deodhar VV, Davis JA. Optimization of Throughput Performance for Low-Power VLSI Interconnects. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. March 2005;13(3):308–318.

36. Zhang H, George V, Rabaey JM. Low-Swing On-Chip Signaling Techniques: Effectiveness and Robustness. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. June 2000;8(3):264–272.

37. Benini L, De Micheli G. Networks on Chip: A New SoC Paradigm. IEEE Computer. January 2002;31(1):70–78.

38. Haurylau M, et al. On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions. IEEE Journal of Selected Topics on Quantum Electronics. November/December 2006;12(6):1699–1705.

39. Chen G, et al. On-Chip Copper-Based vs Optical Interconnects: Delay Uncertainty, Latency, Power, and Bandwidth Density Comparative Predictions. Proceedings of the IEEE International Interconnect Technology Conference June 2006;39–41.

40. Chen G, et al. Predictions of CMOS Compatible On-Chip Optical Interconnect. Integration, The VLSI Journal. July 2007;40(4):434–446.

41. W. Shockley, “Semiconductive Wafer and Method of Making the Same,” U.S. Patent No. 3,044,909, July 1962.

42. M. G. Smith and S. Emanuel, “Methods of Making Thru-Connections in Semiconductor Wafers,” U.S. Patent No. 3,343,256, September 1967.

43. [Online], National Academy of Sciences. Available: http://www.nasonline.org/publications/biographical-memoirs/memoir-pdfs/shockley-w.pdf (accessed in September 2016).

44. Lau JH. Evolution, Challenges, and Outlook of TSV, 3D Integration and 3D Silicon Integration. Proceedings of the IEEE International Symposium on Advanced Packaging Materials October 2011;462–488.

45. Goele GT, et al. Vertical Single Gate CMOS Inverters on Laser-Processed Multilayer Substrates. Proceedings of the IEEE International Electron Device Meetings. December 1981;27:554–556.

46. Gibbons JF, Lee KF. One-Gate-Wide CMOS Inverter on Laser-Recrystallized Polysilicon. IEEE Electron Device Letters. June 1980;EDL-1(6):117–118.

47. Akasaka Y. Three-Dimensional IC Trends. Proceedings of the IEEE. December 1986;74(12):1703–1714.

48. R. Thom, “High Density Infrared Detector Arrays,” U.S. Patent No. 4,039,833, February 1977.

49. Davis WR, et al. Demystifying 3D ICs: The Pros and Cons of Going Vertical. IEEE Design and Test of Computers. November/December 2005;22(6):498–510.

50. Joyner JW, Zarkesh-Ha P, Davis JA, Meindl JD. A Three-Dimensional Stochastic Wire-Length Distribution for Variable Separation of Strata. Proceedings of the IEEE International Interconnect Technology Conference June 2000;126–128.

51. Koyanagi M, et al. Future System-on-Silicon LSI Chips. IEEE Micro. July/August 1998;18(4):17–22.

52. Jain VK, Bhanja S, Chapman GH, Doddannagari L. A Highly Reconfigurable Computing Array: DSP Plane of a 3D Heterogeneous SoC. Proceedings of the IEEE International SoC Conference September 2005;243–246.

53. Jeddeloh J, Keeth B. Hybrid Memory Cube New DRAM Architecture Increases Density and Performance. Proceedings of the IEEE Symposium on VLSI Technology June 2012;87–88.

54. Kim J, Kim Y. HBM: Memory Solution for Bandwidth-Hungry Processors. Proceedings of the IEEE Hot Chips Symposium August 2014;1–24.

55. Oh T-Y, et al. A 7 Gb/s/pin 1 Gbit GDDR5 SDRAM With 2.5 ns Bank to Bank Active Time and No Bank Group Restriction. IEEE Journal of Solid-State Circuits. January 2011;46(1):107–118.

56. Kwon W-S, et al. Enabling a Manufacturable 3D Technologies and Ecosystem Using 28nm FPGA with Stack Silicon Interconnect Technology. Proceedings of the International Symposium on Microelectronics September/October 2013;217–222.

57. Nguyen VH, Christie P. The Impact of Interstratal Interconnect Density on the Performance of Three-Dimensional Integrated Circuits. Proceedings of the IEEE/ACM International Workshop on System Level Interconnect Prediction April 2005;73–77.

58. Savidis I, Friedman EG. Electrical Modeling and Characterization of 3-D Vias. Proceedings of the IEEE International Symposium on Circuits and Systems May 2008;784–787.

59. Tummala RR, et al. The SOP for Miniaturized, Mixed-Signal Computing, Communication, and Consumer Systems of the Next Decade. IEEE Transactions on Advanced Packaging. May 2004;27(2):250–267.

60. Tummala RR. SOP: What is it and Why? A New Microsystem-Integration Technology Paradigm-Moore’s Law for System Integration of Miniaturized Convergent Systems of the Next Decade. IEEE Transactions on Advanced Packaging. May 2004;27(2):241–249.

61. Sundaram V, et al. Next-Generation Microvia and Global Wiring Technologies for SOP. IEEE Transactions on Advanced Packaging. May 2004;27(2):315–325.

62. [Online] Hybrid Memory Cube. Available: http://www.hybridmemorycube.org/.

63. [Online] High Bandwidth Memory. Available: http://www.amd.com/en-us/innovations/software-technologies/hbm.

64. [Online] Xilinx. Available: http://www.xilinx.com/products/silicon-devices/3dic.html.

65. Hofstee HP. Future Microprocessors and Off-Chip SOP Interconnect. IEEE Transactions on Advanced Packaging. May 2004;27(2):301–303.

66. Al-Sarawi SF, Abbott D, Franzon PD. A Review of 3-D Packaging Technology. IEEE Transactions on Components, Packaging, and Manufacturing Technology–Part B. February 1998;21(1):2–14.

67. Garrou P. Future ICs Go Vertical. Semiconductor International February 2005; [online].

68. Karnezos M. 3-D Packaging: Where All Technologies Come Together. Proceedings of the IEEE/SEMI International Electronics Manufacturing Technology Symposium July 2004;64–67.

69. Beyne E. The Rise of the 3rd Dimension for System Integration. Proceedings of the IEEE International Interconnect Technology Conference June 2006;1–5.

70. C. Fox III and M. Warren, “High-Density Electronic Package Comprising Stacked Sub-Modules Which are Electrically Interconnected by Solder-Filled Vias,” U.S. Patent No. 5,128,831, July 1992.

71. Miyano I, et al. Fabrication and Thermal Analysis of 3-D Located LSI Packages. Proceedings of the European Hybrid Microelectronics Conference June 1993;184–191.

72. Miettinen J, Mantysalo M, Kaija K, Ristolainen EO. System Design Issues for 3D System-in-Package (SiP). Proceedings of the IEEE Electronic Components and Technology Conference June 2004;610–615.

73. Stoukach S, et al. 3D-SiP Integration for Autonomous Sensor Nodes. Proceedings of the IEEE Electronic Components and Technology Conference June 2006;404–408.

74. Tamaka N, et al. Low-Cost Through-Hole Electrode Interconnection for 3D-SiP Using Room-Temperature Bonding. Proceedings of the IEEE Electronic Components and Technology Conference June 2006;814–818.

75. Howell WJ, et al. Area Array Solder Interconnection Technology for the Three-Dimensional Silicon Cube. Proceedings of the IEEE Electronic Components and Technology Conference May 1995;1174–1178.

76. Hatada K, Fujimoto H, Kawakita T, Ochi T. A New LSI Bonding Technology ‘Micron Bump Bonding Assembly Technology’. Proceedings of the IEEE International Electronic Manufacturing Technology Symposium October 1988;23–27.

77. Souriau J-C, Lignier O, Charrier M, Poupon G. Wafer Level of 3D System in Package for RF and Data Applications. Proceedings of the IEEE Electronic Components and Technology Conference June 2005;356–361.

78. Tanida K, et al. Ultra-High-Density 3D Chip Stacking Technology. Proceedings of the IEEE Electronic Components and Technology Conference May 2003;1084–1089.

79. Minahan JA, Pepe A, Some R, Suer M. The 3D Stack in Short Form. Proceedings of the IEEE Electronic Components and Technology Conference May 1992;340–344.

80. Larcombe SP, Stern JM, Ivey PA, Seed L. Utilizing a Low Cost 3D Packaging Technology for Consumer Applications. IEEE Transactions on Consumer Electronics. November 1995;41(4):1095–1102.

81. Stem JM, et al. An Ultra Compact, Low-Cost, Complete Image-Processing System. Proceedings of the IEEE International Solid-State Circuits Conference February 1995;230–231.

82. Knickerbocker JU, et al. Development of Next-Generation System-on-Package (SOP) Technology Based on Silicon Carriers with Fine Pitch Interconnects. IBM Journal of Research and Development. July/September 2005;49(4/5):725–753.

83. Lau JH, et al. Low-Cost TSH (Through-Silicon Hole) Interposers for 3D IC Integration. Proceedings of the Electronic Components and Technology Conference May 2014;290–296.

84. Ruhmer K. Lithography Challenges for 2.5D Interposer Manufacturing. Proceedings of the Electronic Components and Technology Conference May 2014;523–527.

85. Yu A, et al. Development of Fine Pitch Solder Microbumps for 3D Chip Stacking. Proceedings of the IEEE Electronics Packaging Technology Conference December 2008;350–354.

86. Kim J-S, et al. A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 128 I/Os Using TSV Based Stacking. IEEE Journal of Solid-State Circuits. January 2012;47(1):107–116.

87. Sawyer B, et al. Modeling, Design, Fabrication and Characterization of First Large 2.5D Glass Interposer as a Superior Alternative to Silicon and Organic Interposers at 50 Micron Bump Pitch. Proceedings of the Electronic Components and Technology Conference May 2014;742–747.

88. J. Keech et al., “Fabrication of 3D-IC Interposers,” Proceedings of the Electronic Components and Technology Conference, pp. 1829-1833, May 2013.

89. Sundaran V, et al. Low Cost, High Performance, and High Reliability 2.5D Silicon Interposer. Proceedings of the Electronic Components and Technology Conference May 2013;342–347.

90. Kuramochi S, Koiwa S, Suzuki K, Fukuoka Y. Cost Effective Interposer for Advanced Electronic Packages. Proceedings of the Electronic Components and Technology Conference May 2014;1673–1678.

91. Hu D-C, et al. Embedded Glass Interposer for Heterogeneous Multi-Chip Integration. Proceedings of the Electronic Components and Technology Conference May 2015;314–317.

92. Goodwin S, et al. Process Integration, Improvements, and Testing of Si Interposers for Embedded Computing Applications. Proceedings of the Electronic Components and Technology Conference May 2014;8–12.

93. Flack W, Kenyon G, Ranjan M. Large Area Interposer Lithography. Proceedings of the Electronic Components and Technology Conference May 2014;26–32.

94. Yook JM, Kim D, Kim JC. High Performance IPDs (Integrated Passive Devices) and TGV (Through Glass Via) Interposer Technology Using the Photosensitive Glass. Proceedings of the Electronic Components and Technology Conference May 2014;41–46.

95. Shorey A, et al. Advancements in Fabrication of Glass Interposers. Proceedings of the Electronic Components and Technology Conference May 2014;20–25.

96. Batude P, et al. 3D Sequential Integration Opportunities and Technology Optimization. Proceedings of the IEEE International Interconnect Technology Conference May 2014;373–376.

97. Geis MW, Flanders DC, Antoniadis DA, Smith HI. Crystalline Silicon on Insulators by Graphoepitaxy. Proceedings of the IEEE International Electron Devices Meeting December 1979;210–212.

98. Akiyama S, et al. Multilayer CMOS Device Fabricated on Laser Recrystallized Silicon Islands. Proceedings of the IEEE International Electron Devices Meeting December 1983;352–355.

99. Kawamura S, et al. Three-Dimensional CMOS IC’s Fabricated by Using Beam Recrystallization. IEEE Electron Device Letters. October 1983;EDL-4(10):366–368.

100. Sugahara K, et al. SOI/SOI/Bulk-Si Triple-Level Structure for Three-Dimensional Devices. IEEE Electron Device Letters. March 1986;EDL-7(3):193–194.

101. Lee KF, Gibbons JF, Saraswat KC. Thin Film MOSFET’s Fabricated in Laser-Annealed Polycrystalline Silicon. Applied Physics Letters. July 1979;35(2):173–175.

102. Hazama H, et al. Application of E-beam Recrystallization to Three-Layer Image Processor Fabrication. IEEE Transactions on Electron Devices. January 1991;38(1):47–54.

103. Subramanian V, Saraswat KC. High-Performance Germanium-Seeded Laterally Crystallized TFT’s for Vertical Device Integration. IEEE Transactions on Electron Devices. September 1998;45(9):1934–1939.

104. Chan VW, Chan PCH, Chan M. Three-Dimensional CMOS Integrated Circuits on Large Grain Polysilicon Films. Proceedings of the IEEE International Electron Devices Meeting December 2000;161–164.

105. Neudeck GW, Pae S, Denton JP, Su TC. Multiple Layers of Silicon-on-Insulator for Nanostructure Devices. Journal of Vacuum Science Technology B. May/June 1999;17(3):994–998.

106. Hirashita N, Katoh T, Onoda H. Si-Gate CMOS Devices on a Si Lateral Solid-Phase Epitaxial Layer. IEEE Transactions on Electron Devices. March 1989;36(3):548–552.

107. Lin X, Zhang S, Wu X, Chan M. Local Clustering 3-D Stacked CMOS Technology for Interconnect Loading Reduction. IEEE Transactions on Electron Devices. June 2006;53(6):1405–1410.

108. Wong H-SP, Chan KK, Tuar Y. Self-Aligned (Top and Bottom) Double-Gate MOSFET with a 25 nm Thick Silicon Channel. Proceedings of the IEEE International Electron Devices Meeting December 1997;427–430.

109. Shenoy RS, Saraswat KC. Novel Process for Fully Self-Aligned Planar Ultrathin Body Double-Gate FET. Proceedings of the IEEE International Silicon on Insulator Conference October 2004;190–191.

110. Batude P, et al. Advances, Challenges, and Opportunities in 3D CMOS Sequential Integration. Proceedings of the IEEE International Electron Devices Meeting December 2011;151–154.

111. Batude P, et al. Advances in 3D CMOS Sequential Integration. Proceedings of the IEEE International Electron Devices Meeting December 2009;345–348.

112. Batude P, et al. GeOI and SOI 3D Monolithic Cells Integrations for High Density Applications. Proceedings of the Symposium on VLSI Technology June 2009;166–167.

113. Yu B, et al. FinFet Scaling to 10 nm Gate Length. Proceedings of the IEEE International Electron Devices Meeting December 2002;251–254.

114. Wu X, et al. A Three-Dimensional Stacked Fin-CMOS Technology for High-Density ULSI Circuits. IEEE Transactions on Electron Devices. September 2005;52(9):1998–2003.

115. Batude P, et al. 3D CMOS Integration: Introduction of Dynamic Coupling and Application to Compact and Robust 4T SRAM. Proceedings of the IEEE International Conference on Integrated Circuit Design and Technology June 2008;281–284.

116. Fan A, Rahman A, Reif R. Copper Wafer Bonding. Electrochemical and Solid-State Letters. October 1999;2(10):534–536.

117. Reif R, Fan A, Chen KN, Das S. Fabrication Technologies for Three-Dimensional Integrated Circuits. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2002;33–37.

118. Gutmann RJ, et al. Three-Dimensional (3D) ICs: A Technology Platform for Integrated Systems and Opportunities for New Polymeric Adhesives. Proceedings of the IEEE International Conference on Polymers and Adhesives in Microelectronics and Photonics October 2001;173–180.

119. Lu J-Q, et al. Stacked Chip-to-Chip Interconnections Using Wafer Bonding Technology with Dielectric Bonding Glues. Proceedings of the IEEE International Interconnect Technology Conference June 2001;219–221.

120. Klumpp A, Merkel R, Wieland R, Ramm P. Chip-to-Wafer Stacking Technology for 3D System Integration. Proceedings of the IEEE Electronic Components and Technology Conference May 2003;1080–1083.

121. Bower CA, et al. High Density Vertical Interconnect for 3-D Integration of Silicon Integrated Circuits. Proceedings of the IEEE Electronic Components and Technology Conference June 2006;399–403.

122. Fukushima T, Yamada Y, Kikuchi H, Koyanagi M. New Three-Dimensional Integration Using Self-Assembly Technique. Proceedings of the IEEE International Electron Devices Meeting December 2005;348–351.

123. Topol AW, et al. Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs). Proceedings of the IEEE International Electron Devices Meeting December 2005;352–355.

124. Tiwari S, et al. Three-Dimensional Integration for Silicon Electronics. Proceedings of the IEEE Lester Eastman Conference on High Performance Devices August 2002;24–33.

125. Fischer AC, et al. Very High Aspect Ratio Through-Silicon Vias (TSVs) Fabricated Using Automated Magnetic Assembly of Nickel Wires. Journal of Micromechanics and Microengineering. August 2012;22.

126. Malta D, et al. Optimization of Chemistry and Process Parameters for Void-Free Copper Electroplating of High Aspect Ratio Through-Silicon-Vias for 3D Integration. Proceedings of the IEEE Electronic Components and Technology Conference May 2009;1301–1306.

127. Beica R, Sharbono C, Ritzdorf T. Through Silicon Via Copper Electrodeposition for 3D Integration. Proceedings of the IEEE Electronic Components and Technology Conference May 2008;577–583.

128. Skordas S, et al. Wafer-Scale Oxide Fusion Bonding and Wafer Thinning Development for 3D Systems Integration. Proceedings of the IEEE International Workshop on Low Temperature Bonding for 3D Integration May 2012;203–208.

129. Mirza AR. One Micron Precision, Wafer-Level Aligned Bonding for Interconnect, MEMS and Packaging Applications. Proceedings of the IEEE Electronic Components and Technology Conference May 2000;676–680.

130. Sakuma K, et al. Bonding Technologies for Chip Level and Wafer Level 3D Integration. Proceedings of the IEEE Electronic Components and Technology Conference May 2014;647–654.

131. Yu YH, et al. Process Development to Enable 3D IC Multi-Tier Die Bond for 20 μm Pitch and Beyond. Proceedings of the IEEE Electronic Components and Technology Conference May 2014;572–575.

132. Li Z, Li Y, Xie J. Design and Package Technology Development of Face-to-Face Die Stacking as a Low Cost Alternative for 3D IC Integration. Proceedings of the IEEE Electronic Components and Technology Conference May 2014;338–341.

133. Watanabe N, Kojima T, Asano T. Wafer-Level Compliant Bump for Three-Dimensional LSI with High-Density Area Bump Connections. Proceedings of the IEEE International Electron Devices Meeting December 2005;671–674.

134. Batra P, et al. Three-Dimensional Wafer Stacking Using Cu TSV Integrated with 45 nm High Performance SOI-CMOS Embedded DRAM Technology. Proceedings of the IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference October 2013.

135. Vrtis RN, Heap KA, Burgoyne WF, Roberson LM. Poly (Arylene Ethers) as Low Dielectric Constant Materials for ULSI Interconnect Applications. Proceedings of the Materials Research Society Symposium. December 1997;443:171.

136. Hendricks NH. The Status of Low-k Materials Development. Proceedings of the IEEE International VLSI Multilevel Interconnect Conference June 2000;17.

137. Hahn SF, Martin SJ, McKelvy ML. Thermally Induced Polymerization of an Arylvinylbenzocyclobenzene Monomer. Macromolecules. September 1992;25(5):1539–1545.

138. Oben D, Weigand P, Shapiro MJ, Cohen SA. Influence of the Cure Process on the Properties of Hydrogen Silsesquioxane Spin-on-Glass. Proceedings of the Materials Research Society Symposium. December 1997;443:195.

139. Lu T-M, Moor JA. Vapor Deposition of Low-Dielectric-Constant Polymeric Thin Films. Materials Research Bulletin. October 1997;22(10):28–32.

140. [Online] JEDEC Solid State Technology Association, Electronic Industry Association. Available: http://www.jedec.org/home.

141. Na DJ, et al. TSV MEOL (Mid End of Line) and Packaging Technology of Mobile 3D-IC Stacking. Proceedings of the IEEE Electronic Components and Technology Conference May 2014;596–600.

142. Culurciello E, Andreou AG. Capacitive Inter-Chip Data and Power Transfer for 3-D VLSI. IEEE Transactions on Circuits and Systems II: Express Briefs. December 2006;53(12):1348–1352.

143. Kühn SA, Kleiner MB, Thewes R, Weber W. Vertical Signal Transmission in Three-Dimensional Integrated Circuits by Capacitive Coupling. Proceedings of the IEEE International Symposium on Circuits and Systems. May 1995;1:37–40.

144. Fazzi A, et al. 3-D Capacitive Interconnections for Wafer-Level and Die-Level Assembly. IEEE Journal of Solid-State Circuits. October 2007;42(10):2270–2282.

145. Xu J, et al. AC Coupled Interconnect for Dense 3-D ICs. IEEE Transactions on Nuclear Science. October 2004;51(5):2156–2160.

146. Patti RS. Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs. Proceedings of the IEEE. June 2006;94(6):1214–1224.

147. Henry D, et al. Low Electrical Resistance Silicon Through Vias: Technology and Characterization. Proceedings of the IEEE Electronic Components and Technology Conference June 2006;1360–1365.

148. Jang DM, et al. Development and Evaluation of 3-D SiP with Vertically Interconnected Through Silicon Vias (TSV). Proceedings of the IEEE Electronic Components and Technology Conference June 2007;847–850.

149. Kim B, et al. Factors Affecting Copper Filling Process within High Aspect Ratio Deep Vias for 3D Chip Stacking. Proceedings of the IEEE Electronic Components and Technology Conference June 2006;838–843.

150. Newman MW, et al. Fabrication and Electrical Characterization of 3D Vertical Interconnects. Proceedings of the IEEE Electronic Components and Technology Conference June 2006;394–398.

151. Nguyen NT, et al. Through-Wafer Copper Electroplating for Three-Dimensional Interconnects. Journal of Micromechanics and Microengineering. July 2002;12(4):395–399.

152. Premachandran CS, et al. A Vertical Wafer Level Packaging Using Through Hole Filled Via Interconnect by Lift-Off Polymer Method for MEMS and 3D Stacking Applications. Proceedings of the IEEE Electronic Components and Technology Conference June 2005;1094–1098.

153. F. Laermer, P. Schilp, and R. Bosch Gmbh, “Method of Anisotropically Etching Silicon,” U.S. Patent No. 5,501,893, 1996; German Patent No. 4,241,045C1, 1994.

154. Nagarajan R, et al. Development of a Novel Deep Silicon Tapered Via Etch Process for Through-Silicon Interconnection in 3-D Integrated Systems. Proceedings of the IEEE Electronic Components and Technology Conference June 2006;383–387.

155. Dixit P, Miao J. Fabrication of High Aspect Ratio 35 μm Pitch Interconnects for Next Generation 3-D Wafer Level Packaging by Through-Wafer Copper Electroplating. Proceedings of the IEEE Electronic Components and Technology Conference June 2006;388–393.

156. Ranganathan N, et al. High Aspect Ratio Through-Wafer Interconnect for Three-Dimensional Integrated Circuits. Proceedings of the IEEE Electronic Components and Technology Conference June 2005;343–348.

157. Zhang SX, Lee S-WR, Weng LT, So S. Characterization of Copper-to-Silicon for the Application of 3D Packaging with Through Silicon Vias. Proceedings of the IEEE International Conference on Electronic Packaging Technology September 2005;51–56.

158. Odoro C, et al. Analysis of the Induced Stresses in Silicon During Thermocompression Cu-Cu Bonding of Cu-Through-Vias in 3D-SIC Architecture. Proceedings of the IEEE Electronic Components and Technology Conference June 2007;249–255.

159. Sabuncuoglu-Tezcan D, et al. Sloped Through Wafer Vias for 3D Wafer Level Packaging. Proceedings of the IEEE Electronic Components and Technology Conference June 2007;643–647.

160. Nagel LW, Pederson DO. Simulation Program with Integrated Circuit Emphasis (SPICE). Proceedings of the IEEE Midwest Symposium on Circuit Theory April 1973;1–64.

161. Andry PS, et al. Fabrication and Characterization of Robust Through-Silicon Vias for Silicon-Carrier Applications. IBM Journal of Research and Development. November 2008;52(6):571–581.

162. Leduc P, et al. Enabling Technologies for 3D Chip Stacking. Proceedings of the IEEE International Symposium on VLSI Technology, Systems and Applications April 2008;76–78.

163. MITLL Low-Power FDSOI CMOS Process Design Guide, MIT Lincoln Laboratories, June 2006.

164. Xu Z, Lu J-Q. Through-Silicon-Via Fabrication Technologies, Passives Extraction, and Electrical Modeling for 3-D Integration/Packaging. IEEE Transactions on Semiconductor Manufacturing. February 2013;26(1):23–34.

165. Koyanagi M, et al. Three-Dimensional Integration Technology Based on Wafer Bonding with Vertical Buried Interconnections. IEEE Transactions on Electron Devices. November 2006;53(11):2799–2808.

166. Koyanagi M, Fukushima T, Tanaka T. High-Density Through Silicon Vias for 3-D LSIs. Proceedings of the IEEE. January 2009;97(1):49–59.

167. Kawano M, et al. Three-Dimensional Packaging Technology for Stacked DRAM With 3-Gb/s Data Transfer. IEEE Transactions on Electron Devices. July 2008;55(7):1614–1620.

168. Bea J, Fukushima T, Tanaka T, Koyanagi M. Evaluation of Cu Diffusion From Cu Through-Silicon Via (TSV) in Three-Dimensional LSI by Transient Capacitance Measurement. IEEE Transactions on Electron Devices Letters. July 2011;32(7):940–942.

169. Jenkins KA, Patel CS. Copper-Filled Through Wafer Vias with Very Low Inductance. Proceedings of the IEEE International Interconnect Technology Conference June 2005;144–146.

170. Okoro C, et al. Insertion Bonding: A Novel Cu-Cu Bonding Approach for 3D Integration. Proceedings of the IEEE Electronic Components and Technology Conference June 2010;1370–1375.

171. Liang Y, Li Y. Closed-Form Expressions for the Resistance and the Inductance of Different Profiles of Through-Silicon Vias. IEEE Transactions on Electron Device Letters. March 2011;32(3):393–395.

172. Knickerbocker J, et al. Three-Dimensional Silicon Integration. IBM Journal of Research and Development. November 2008;52(6):553–569.

173. Knickerbocker J, et al. 3D Silicon Integration. Proceedings of the IEEE Electronic Components and Technology Conference May 2008;538–543.

174. Civale Y, et al. Spin-on Dielectric Liner TSV for 3D Wafer Level Packaging Applications. Proceeding of the IEEE International Interconnect Technology Conference June 2010;3–5.

175. Au KY, et al. 3D Chip Stacking & Reliability Using TSV-Micro C4 Solder Interconnection. Proceedings of the IEEE Electronic Components and Technology Conference June 2010;1376–1384.

176. Sillon N, et al. Enabling Technologies for 3D Integration: From Packaging Miniaturization to Advanced Stacked ICs. Proceedings of the IEEE International Electron Devices Meeting December 2008(1):1–4.

177. Burns J, et al. A Wafer-Scale 3-D Circuit Integration Technology. IEEE Transactions on Electron Devices. October 2006;53(10):2507–2516.

178. Kitada H, et al. Development of Low Temperature Dielectrics Down to 150°C for Multiple TSVs Structure with Wafer-on-Wafer (WOW) Technology. Proceedings of the IEEE International Interconnect Technology Conference June 2009;1–3.

179. Lamy YPR, et al. RF Characterization and Analytical Modelling of Through Silicon Vias and Coplanar Waveguides for 3D Integration. IEEE Transactions on Advanced Packaging. November 2010;33(4):1072–1079.

180. S. Arkalgud, “Stacking the Chips,” SEMATECH/ISMI Symposium, 2009.

181. Katti G, Stucchi M, De Meyer K, Dehaene W. Electrical Modeling and Characterization of Through Silicon Via for Three-Dimensional ICs. IEEE Transactions on Electron Devices. January 2010;57(1):256–262.

182. Sakurai T, Tamaru K. Simple Formulas for Two- and Three-Dimensional Capacitances. IEEE Transactions on Electron Devices. February 1983;ED-30(2):183–185.

183. Elmasry MI. Capacitance Calculations in MOSFET VLSI. IEEE Electron Device Letters. January 1982;EDL-3(1):6–7.

184. Ruehli A. Inductance Calculations in a Complex Integrated Circuit Environment. IBM Journal of Research and Development. September 1972;16(5):470–481.

185. Mezhiba A, Friedman E. Inductive Properties of High-Performance Power Distribution Grids. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2002;10(6):762–776.

186. Rahman A, Trezza J, New B, Trimberger S. Die Stacking Technology for Terabit Chip-to-Chip Communications. Proceedings of the IEEE Custom Integrated Circuits Conference September 2006;587–590.

187. Leung LLW, Chen KJ. Microwave Characterization and Modeling of High Aspect Ratio Through-Wafer Interconnect Vias in Silicon Substrates. IEEE Transactions on Microwave Theory and Techniques. August 2005;53(8):2472–2480.

188. Finkbeiner FM, et al. Development of Ultra-Low Impedance Through-Wafer Micro-Vias. Nuclear Instruments and Methods in Physics Research Section A. March 2004;520(1–3):463–465.

189. Chow EM, et al. Process Compatible Polysilicon-Based Electrical Through-Wafer Interconnects in Silicon Substrates. Journal of Microelectromechanical Systems. December 2002;11(6):631–640.

190. Luusua I, et al. Through-Wafer Polysilicon Interconnect Fabrication with In-Situ Boron Doping. Micro- and Nanosystems – Materials and Devices. March 2005;872:77–81.

191. J. H. Wu, Through-Substrate Interconnects for 3-D Integration and RF Systems, Ph.D. Dissertation, Massachusetts Institute of Technology, October 2006.

192. Alam SM, Jones RE, Rauf S, Chatterjee R. Inter-Strata Connection Characteristics and Signal Transmission in Three-Dimensional (3D) Integration Technology. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2007;580–585.

193. Weerasekera R, et al. Closed-Form Equations for Through-Silicon Via (TSV) Parasitics in 3-D Integrated Circuits (ICs). Proceedings of the IEEE Design, Automation & Test in Europe Conference April 2009;1–3.

194. Weldezion AY, et al. Bandwidth Optimization for Through Silicon Via (TSV) Bundles in 3D Integrated Circuits. Proceedings of the IEEE Design, Automation & Test in Europe Conference April 2009;1–4.

195. R. Weerasekera, System Interconnection Design Trade-offs in Three-Dimensional Integrated Circuits, Ph.D. Dissertation, KTH School of Information and Communication Technologies, Stockholm, Sweden, December 2008.

196. Savidis I, et al. Electrical Modeling and Characterization of Through-Silicon Vias (TSVs) for 3-D Integrated Circuits. Microelectronics Journal. January 2010;41(1):9–16.

197. Weerasekera R, et al. Compact Modelling of Through-Silicon Vias (TSVs) in Three-Dimensional (3-D) Integrated Circuits. Proceedings of the IEEE International Conference on 3D System Integration September 2009;1–8.

198. Salah K, Ragai H, Ismail Y, El Rouby A. Equivalent Lumped Element Models for Various n-Port Through Silicon Vias Networks. Proceedings of the IEEE International Asia and South Pacific Design Automation Conference January 2011;176–183.

199. Guo Z, Pan GG. On Simplified Fast Modal Analysis for Through Silicon Vias in Layered Media Based Upon Full-Wave Solutions. IEEE Transactions on Advanced Packaging. May 2010;33(2):517–523.

200. Lee TH. Planar Microwave Engineering Cambridge University Press 2004.

201. Savidis I, Friedman EG. Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance. IEEE Transactions on Electron Devices. September 2009;56(9):1873–1881.

202. Banerjee K, Souri SK, Kapour P, Saraswat KC. 3-D ICs: A Novel Chip Design Paradigm for Improving Deep-Submicrometer Interconnect Performance and Systems-on-Chip Integration. Proceedings of the IEEE. May 2001;89(5):602–633.

203. Sergent JE, Krum A, eds. Thermal Management Handbook for Electronic Assemblies. McGraw-Hill 1998.

204. Ward MR. Electrical Engineering Science McGraw-Hill 1971.

205. Jakushokas R, Popovich M, Mezhiba AV, Kose S, Friedman EG. Power Distribution Networks with On-Chip Decoupling Capacitors Second Edition Springer Science+Businsess Media 2011.

206. Rosa EB. The Self and Mutual Inductances of Linear Conductors. Bulletin of the Bureau of Standards. 1908;4(2):301–344.

207. Kim J, et al. High-Frequency Scalable Electrical Model and Analysis of a Through Silicon Via (TSV). IEEE Transactions on Components, Packaging, and Manufacturing Technology. February 2011;1(2):181–195.

208. Beattie MW, Pileggi LT. On-Chip Induction Modeling: Basics and Advanced Methods. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2002;10(6):712–729.

209. R. A. Pucel, Gallium Arsenide Technology, D. Feny, Ed., Chapter 6, p. 216, 1985.

210. Goldfarb ME, Pucel RA. Modeling Via Hole Grounds in Microstrip. IEEE Microwave and Guided Wave Letters. June 1991;1(6):135–137.

211. Xu C, Kourkoulos V, Suaya R, Banerjee K. A Fully Analytical Model for the Series Impedance of Through-Silicon Vias With Consideration of Substrate Effects and Coupling With Horizontal Interconnects. IEEE Transactions on Electron Devices. October 2011;58(10):3529–3540.

212. [Online] ANSYS Quick 3-D (Q3D) Extractor, ANSYS. Available: http://www.ansys.com/Products/Simulation+Technology/Electromagnetics/High-Performance+Electronic+Design/ANSYS+Q3D+Extractor.

213. Nabors K, White J. FastCap: A Multipole-Accelerated 3-D Capacitance Extraction Program. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. November 1991;10(11):1447–1459.

214. Xu C, Suaya R, Banerjee K. Compact Modeling and Analysis of Through-Si-Via-Induced Electrical Noise Coupling in Three-Dimensional ICs. IEEE Transactions on Electron Devices. November 2011;58(11):4024–4034.

215. Katti G, et al. Through-Silicon-Via Capacitance Reduction Technique to Benefit 3-D IC Performance. IEEE Electron Device Letters. June 2010;31(6):549–551.

216. Sze SM, Ng KK. Physics of Semiconductor Devices Third Edition John Wiley & Sons Press 2006.

217. Tsividis Y, McAndrew C. Operation and Modeling of the MOS Transistor Third Edition Oxford University Press 2010.

218. Jueping C, et al. Through-Silicon Via (TSV) Capacitance Modeling for 3D NoC Energy Consumption Estimation. Proceedings of the IEEE International Conference on Solid-State and Integrated Circuit Technology November 2010;815–817.

219. Ulaby FT. Fundamentals of Applied Electromagnetics Prentice Hall 2004.

220. Curran B, Ndip I, Guttovski S, Reichl H. The Impacts of Dimensions and Return Current Path Geometry on Coupling in Single Ended Through Silicon Vias. Proceedings of the IEEE Electronic Components and Technology Conference May 2009;1092–1097.

221. Rao NN. Elements of Engineering Electromagnetics Fifth Edition Prentice Hall 2000.

222. Ho SW, et al. High RF Performance TSV Silicon Carrier for High Frequency Application. Proceedings of the IEEE Electronic Components and Technology Conference May 2008;1946–1952.

223. Xu Z, Lu J-Q. High-Speed Design and Broadband Modeling of Through-Strata-Vias (TSVs) in 3D Integration. IEEE Transactions on Components, Packaging, and Manufacturing Technology. February 2011;1(2):154–162.

224. Kim J, et al. Modeling and Analysis of Differential Signal Through Silicon Via (TSV) in 3D IC. Proceedings of the IEEE Components, Packaging, and Manufacturing Technology Symposium Japan August 2010;1–4.

225. Lee TH. The Design of CMOS Radio-Frequency Integrated Circuits Second Edition Cambridge University Press 2004.

226. Ismail YI, Friedman EG. On the Extraction of On-Chip Inductance. Journal of Circuits, Systems and Computers. February 2003;12(1):31–40.

227. Liao H-Y, Chiou H-K. RF Model and Verification of Through-Silicon Vias in Fully Integrated SiGe Power Amplifier. IEEE Electron Device Letters. June 2011;32(6):809–811.

228. Salah K, et al. Compact Lumped Element Model for TSV in 3D-ICs. Proceedings of the IEEE International Symposium on Circuits and Systems May 2011;2321–2324.

229. Bermond C, et al. High Frequency Characterization and Modeling of High Density TSV in 3D Integrated Circuits. Proceedings of the IEEE Workshop on Signal Propagation on Interconnects May 2009;1–4.

230. [Online] ANSYS HFSS, ANSYS. Available: http://www.ansys.com/Products/Simulation+Technology/Electromagnetics/High-Performance+Electronic+Design/ANSYS+HFSS.

231. M. Ravenstahl and M. Kopp, Application Brief: ANSYS HFSS for ECAD, ANSYS, 2013.

232. [Online] EIP Electromagnetic Field Solver Suite of Tools, IBM, March 2010. Available: http://www.alphaworks.ibm.com/tech/eip.

233. Kamon M, Tsuk MJ, White JK. FastHenry: A Multipole-Accelerated 3-D Inductance Extraction Program. IEEE Transactions on Microwave Theory and Techniques. September 1994;42(9):1750–1758.

234. [Online] EMPro 3D EM Simulation Software, Agilent Technologies. Available: http://www.home.agilent.com/en/pc-1297143/empro-3d-em-simulation-software.

235. Agilent EEs of EDA EMPro, Agilent Technologies, February 2012.

236. [Online] Sonnet Suites: High Frequency Electromagnetic Software, Sonnet. Available: http://www.sonnetsoftware.com/products/sonnet-suites.

237. Going With the Flow: Sonnet Professional Suite Release 14, Sonnet, 2012.

238. [Online] 3D Electromagnetic Simulation Software, CST. Available: http://www.cst.com.

239. Hall AR. Generalized Method of Moments Oxford University Press 2005.

240. Miller EK, Medgyesi-Mitschang L, Newman EH, eds. Computational Electromagnetics: Frequency-Domain Method of Moments. IEEE Press 1992.

241. Logan DL. A First Course in the Finite Element Method Fourth Edition Nelson, A Division of Thomson Canada Limited 2007.

242. Schneider P, et al. Towards a Methodology for Analysis of Interconnect Structures for 3D-Integration of Micro Systems. Analog Integrated Circuits and Signal Processing. February 2008;57(3):205–211.

243. MITLL Low-Power FDSOI CMOS Process Application Notes, MIT Lincoln Laboratories, June 2006.

244. Salman E, Friedman EG. High Performance Integrated Circuit Design McGraw-Hill 2012.

245. Satheesh SM, Salman E. Effect of TSV Fabrication Technology on Power Distribution in 3D ICs. Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI May 2013;287–292.

246. Salman E. Noise Coupling Due To Through Silicon Vias (TSVs) in 3-D Integrated Circuits. Proceedings of the IEEE International Symposium on Circuits and Systems May 2011;1411–1414.

247. Shifren L, et al. Predictive Simulation and Benchmarking of Si and Ge pMOS FinFETs for Future CMOS Technology. IEEE Transactions on Electron Devices. July 2014;61(7):2271–2277.

248. Dalapati GK, et al. Impact of Buffer Layer on Atomic Layer Deposited TiAlO Alloy Dielectric Quality for Epitaxial-GaAs/Ge Device Application. IEEE Transactions on Electron Devices. January 2013;60(1):192–199.

249. Rogalski A. New Trends in Infrared and Terahertz Detectors. Proceedings of the IEEE Optoelectronic and Microelectronic Materials Devices Conference December 2014;218–220.

250. Betz AL, Boreiko RT. Space Applications for HgCdTe at FIR Wavelengths between 50 and 150 um. Proceedings of the SPIE Materials for Infrared Detectors November 2001;1–9.

251. Farooq MG, et al. 3-D Copper TSV Integration, Testing and Reliability. Proceedings of the IEEE International Electron Devices Meeting December 2011;7.1.1–7.1.4.

252. [Online] NIMO Group, “Predictive Technology Model (PTM),” Available: http://ptm.asu.edu/.

253. Sakurai T. Approximation of Wiring Delay in MOSFET LSI. IEEE Journal of Solid-State Circuits. August 1983;SC-18(4):418–426.

254. Agarwal K, Sylvester D, Blaauw D. Modeling and Analysis of Crosstalk Noise in Coupled RLC Interconnects. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. May 2006;25(5):892–901.

255. Gharpurey R, Meyer RG. Modeling and Analysis of Substrate Coupling in Integrated Circuits. IEEE Journal of Solid-State Circuits. March 1996;31(3):344–353.

256. Spiesshoefer S, et al. Process Integration for Through-Silicon Vias. Journal of Vacuum Science & Technology A. June 2005;23(4):824–829.

257. Papistas IA, Pavlidis VF. Bandwidth-to-Area Comparison of Through Silicon Vias and Inductive Links for 3-D ICs. Proceedings of the IEEE European Conference on Circuit Theory and Design August 2015;1–4.

258. Ishikuro H, Miura N, Kuroda T. Wideband Inductive-Coupling Interface for High-Performance Portable System. Proceedings of the IEEE Custom Integrated Circuits Conference September 2007;13–20.

259. Miura N, et al. Analysis and Design of Transceiver Circuit and Inductor Layout for Inductive Inter-Chip Wireless Superconnect. Proceedings of the IEEE Symposium on VLSI Circuits June 2004;246–249.

260. Miura N, et al. A 1 Tb/s 3 W Inductive-Coupling Transceiver for 3D-Stacked Inter-Chip Clock and Data Link. IEEE Journal of Solid-State Circuits. January 2007;42(1):111–122.

261. Miura N, Sakurai T, Kuroda T. Inductive Coupled Communications. Coupled Data Communication Techniques for High Performance and Low-Power Computing Springer 2010.

262. Miura N, et al. A 195-Gb/s 1.2-W Inductive Inter-Chip Wireless Superconnect with Transmit Power Control Scheme for 3-D-Stacked System in a Package. IEEE Journal of Solid-State Circuits. January 2006;41(1):23–34.

262a. X. Chen and S. Kiaei, “Monocycle Shapes for Ultra Wideband System,” Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 597–600, May 2002.

263. Miura N, et al. Analysis and Design of Inductive Coupling and Transceiver Circuit for Inductive Inter-Chip Wireless Superconnect. IEEE Journal of Solid-State Circuits. April 2005;40(4):829–837.

264. Miura N, et al. An 11 Gb/s Inductive-Coupling Link with Burst Transmission. Proceedings of the IEEE International Solid-State Circuits Conference February 2008;298–614.

265. [Online] Wide I/O 2 DRAM Standard. Available https://www.jedec.org.

266. [Online] Hybrid Memory Cube Specification. Available http://www.hybridmemorycube.org.

267. Sekiguchi T, et al. Inductorless 8.9 mW 25 Gb/s 1:4 DEMUX and 4 mW 13 Gb/s 4:1 MUX in 90 nm CMOS. Journal of Semiconductor Technology and Science. September 2010;10(3):176–184.

268. Miura N, Sakurai T, Kuroda T. Crosstalk Countermeasures for High-Density Inductive-Coupling Channel Array. IEEE Journal of Solid-State Circuits. February 2007;42(2):410–421.

269. Niitsu K, et al. Interference from Power/Signal Lines and to SRAM Circuits in 65nm CMOS Inductive-Coupling Link. Proceedings of the IEEE Asian Solid-State Circuits Conference November 2007;131–134.

270. Papistas IA, Pavlidis VF. Crosstalk Noise Effects of On-Chip Inductive Links on Power Delivery Networks. Proceedings of the IEEE International Symposium on Circuits and Systems May 2016;1938–1941.

271. [Online] Ansys RedHawk. Available https://www.apache-da.com/products/redhawk (accessed in May 2016).

272. [Online] Ansys Electronics Desktop. Available http://www.ansys.com/products/electronics/ansys-electronics-desktop.

273. Koh W, et al. Copper Pillar Bump Technology Progress Overview. Proceedings of the IEEE International Conference on Electronic Packaging Technology and High Density Packaging August 2011;1–5.

274. Papistas IA, Pavlidis VF. Inter-Tier Crosstalk Noise on Power Delivery Networks for 3-D ICs with Inductively-Coupled Interconnects. Proceedings of the ACM Great Lakes Symposium of VLSI May 2016;257–262.

275. Popovich M, Mezhiba AV, Friedman EG. Power Distribution Networks with On-Chip Decoupling Capacitors Springer Verlag 2008.

276. Mezhiba AV, Friedman EG. Impedance Characteristics of Power Distribution Grids in Nanoscale Integrated Circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. November 2004;12(11):1148–1155.

277. Han S, Wentzloff D. Performance Improvement of Resonant Inductive Coupling for Wireless 3D IC Interconnect. Proceedings of the IEEE International Symposium on Antennas and Propagation July 2010;1–4.

278. Onizuka K, et al. Chip-to-Chip Inductive Wireless Power Transmission System for SiP Applications. Proceedings of the IEEE Custom Integrated Circuits Conference September 2006;575–578.

279. Yuxiang Y, Yoshida Y, Kuroda T. Non-Contact 10% Efficient 36 mW Power Delivery Using On-Chip Inductor in 0.18-μm CMOS. Proceedings of the IEEE Asian Solid-State Circuits Conference November 2007;115–118.

280. Han S, Wentzloff D. Wireless Power Transfer Using Resonant Inductive Coupling for 3D Integrated ICs. Proceedings of the IEEE International 3D Systems Integration Conference November 2010;1–5.

281. Kurs A, et al. Wireless Power Transfer via Strongly Coupled Magnetic Resonances. Science. July 2007;317(5834):83–86.

282. Han S, Wentzloff D. 0.61 W/mm2 Resonant Inductively Coupled Power Transfer for 3D ICs. Proceedings of the IEEE Custom Integrated Circuits Conference September 2012;1–4.

283. Landman BS, Russo RL. On a Pin Versus Block Relationship for Partitions of Logic Graphs. IEEE Transactions on Computers. December 1971;C-20(12):1469–1479.

284. Donath WE. Placement and Average Interconnection Lengths of Computer Logic. IEEE Transactions on Circuits and Systems. April 1979;26(4):272–277.

285. Christie P, Stroobandt D. The Interpretation and Application of Rent’s Rule. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2000;8(6):639–648.

286. Verplaetse P, Stroobandt D, Van Campenhout J. A Stochastic Model for the Interconnection Topology of Digital Circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2001;9(6):938–942.

287. Kahng AB, Mantik S, Stroobandt D. Toward Accurate Models of Achievable Routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. May 2001;20(5):648–659.

288. Stroobandt D. A Priori Wire Length Estimates for Digital Design Netherlands: Kluwer Academic Publishers; 2001.

289. Davis JA, De VK, Meindl JD. A Stochastic Wire-Length Distribution for Gigascale Integration (GSI) – Part I: Derivation and Validation. IEEE Transactions on Electron Devices. March 1998;45(3):580–589.

290. Davis JA, De VK, Meindl JD. A Stochastic Wire-Length Distribution for Gigascale Integration (GSI) – Part II: Applications to Clock Frequency, Power Dissipation, and Chip Size Estimation. IEEE Transactions on Electron Devices. March 1998;45(3):590–597.

291. Joyner JW, et al. Impact of Three-Dimensional Architectures on Interconnects in Gigascale Integration. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2001;9(6):922–928.

292. Joyner JW, Zarkesh-Ha P, Davis JA, Meindl JD. Vertical Pitch Limitations on Performance Enhancement in Bonded Three-Dimensional Interconnect Architectures. Proceedings of the ACM International System Level Interconnect Prediction Conference April 2000;123–127.

293. Joyner JW, Zarkesh-Ha P, Meindl JD. A Stochastic Global Net-Length Distribution for a Three-Dimensional System-on-a-Chip (3D-SoC). Proceedings of the IEEE International ASIC/SOC Conference September 2001;147–151.

294. J. W. Joyner, Opportunities and Limitations of Three-Dimensional Integration for Interconnect Design, Ph.D. Dissertation, Georgia Institute of Technology, Atlanta, Georgia, July 2003.

295. Rahman A, Fan A, Chung J, Reif R. Wire-Length Distribution of Three-Dimensional Integrated Circuits. Proceedings of the IEEE International Interconnect Technology Conference May 1999;233–235.

296. Rahman A, Reif R. System Level Performance Evaluation of Three-Dimensional Integrated Circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2000;8(6):671–678.

297. Rahman A, Fan A, Reif R. Comparison of Key Performance Metrics in Two- and Three-Dimensional Integrated Circuits. Proceedings of the IEEE International Interconnect Technology Conference June 2000;18–20.

298. Zhang R, Roy K, Koh C-K, Janes DB. Stochastic Interconnect Modeling, Power Trends, and Performance Characterization of 3-D Circuits. IEEE Transactions on Electron Devices. April 2001;48(4):638–652.

299. Zhang R, Roy K, Koh C-K, Janes DB. Power Trends and Performance Characterization of 3-Dimensional Integration. Proceedings of the IEEE International Symposium on Circuits and Systems. May 2001;IV:414–417.

300. Stroobandt D, Van Campenhout J. Accurate Interconnection Lengths in Three-Dimensional Computer Systems. IEICE Transactions on Information and System, Special Issue on Physical Design in Deep Submicron. April 2000;10(1):99–105.

301. Stroobandt D, Van Marck H, Van Campenhout J. On the Use of Generating Polynomials for the Representation of Interconnection Length Distributions. Proceedings of the International Workshop on Symbolic Methods and Applications in Circuit Design October 1996;74–78.

302. Stroobandt D. Improving Donath’s Technique for Estimating the Average Interconnection Length in Computer Logic. ELIS Department Technical Report DG 96-01 Belgium: Ghent University; 1996.

303. Donath WE. Wire Length Distribution for Placements of Computer Logic. IBM Journal of Research and Development. May 1981;25(2/3):152–155.

304. Saraswat KC, Souri SK, Banerjee K, Kapour P. Performance Analysis and Technology of 3-D ICs. Proceedings of the ACM International System Level Interconnect Prediction Conference April 2000;85–90.

305. Joyner JW, Zarkesh-Ha P, Meindl JD. A Global Interconnect Design Window for a Three-Dimensional System-on-a-Chip. Proceedings of the IEEE International Interconnect Technology Conference June 2001;154–156.

306. Joyner JW, Meindl JD. Opportunities for Reduced Power Distribution Using Three-Dimensional Integration. Proceedings of the IEEE International Interconnect Technology Conference June 2002;148–150.

307. “FDSOI Design Guide,” MIT Lincoln Laboratory, Cambridge, 2006.

308. Hua H, et al. Performance Trend in Three-Dimensional Integrated Circuits. Proceedings of the IEEE International Interconnect Technology Conference June 2006;45–47.

309. OpenRISC Reference Platform System-on-a-Chip and OpenRISC I200 IP Core Specification, online [http://www.opencores.org/projects.cgi/web/orlk/orpso].

310. Bernstein K, et al. Interconnects in the Third Dimension: Design Challenges for 3-D ICs. Proceedings of the IEEE/ACM Design Automation Conference June 2007;562–567.

311. Kühn SA, Kleiner MB, Ramm P, Weber W. Performance Modeling of the Interconnect Structure of a Three-Dimensional Integrated RISC Processor/Cache System. IEEE Transactions on Components, Packaging, and Manufacturing Technology – Part B. November 1996;19(4):719–727.

312. Beyne E, et al. Through-Silicon Via and Die Stacking Technologies for Microsystems Integration. Proceedings of the IEEE International Electron Devices Meeting December 2008;1–4.

313. Redolfi A, et al. Implementation of an Industry Compliant, 5×50 µm, Via-Middle TSV Technology on 300 mm Wafers. Proceedings of the IEEE Electronic Components and Technology Conference May/June 2011;1384–1388.

314. Van Huylenbroeck S, et al. Small Pitch, High Aspect Ratio Via-last TSV Module. Proceedings of the IEEE Electronic Components and Technology Conference May/June 2016;43–49.

315. Velenis D, Marinissen EJ, Beyne E. Cost Effectiveness of 3D Integration Options. Proceedings of the 3D Systems Integration Conference November 2010;1–6.

316. Civale Y, et al. Enhanced Barrier Seed Metallization for Integration of High-Density High Aspect-Ratio Copper-Filled 3D Through-Silicon Via Interconnects. Proceedings of the IEEE Electronic Components and Technology Conference May/June 2012;822–826.

317. Van Huylenbroeck S, et al. Advanced Metallization Scheme for 3×50 µm Via Middle TSV and Beyond. Proceedings of the IEEE Electronic Components and Technology Conference May 2015;66–72.

318. Radisi A, et al. Copper Plating of Through-Si Vias for 3D-Stacked Integrated Circuits. Symposium on Materials and Technologies for 3-D Integration held at the 2008 MRS Fall Meeting. December 2008;1112:159–164.

319. Jourdain A, et al. Integration of TSVs, Wafer Thinning and Backside Passivation on Full 300 mm CMOS Wafers for 3D Applications. Proceedings of the IEEE Electronic Components and Technology Conference May 2011;1122–1125.

320. Vandersmissen K, et al. Demonstration of a Cost Effective Cu Electroless TSV Metallization Scheme. Proceedings of the International Interconnect Technology Conference & Materials for Advanced Metallization Conference May 2015;197–200.

321. Detalle M, et al. Interposer Technology for High Bandwidth Interconnect Applications. Proceedings of the IEEE Electronic Components And Technology Conference May 2012;323–328.

322. Beyne E. Electrical, Thermal and Mechanical Impact of 3D TSV and 3D Stacking Technology on Advanced CMOS Devices-Technology Directions. Proceedings of the IEEE International Conference on 3D System Integration January-February 2012;1–6.

323. R. Chaware, K. Nagarajan, K. Ng and S. Y. Pai, “Assembly Process Integration Challenges and Reliability Assessment of Multiple 28 nm FPGAs Assembled on a Large 65 nm Passive Interposer,” IEEE International Reliability Physics Symposium, pp. 2B.2.1-2B.2.5, April 2012.

324. Detalle M, et al. Fat Damascene Wires for High Bandwidth Routing in Silicon Interposer. International Conference on Solid State Devices and Materials September 2012.

325. Vaisband IP, Jakushokas R, Popovich M, Mezhiba AV, Köse S, Friedman EG. On-Chip Power Delivery and Management Fourth Edition Springer 2016.

326. De Vos J, et al. Key Elements for Sub-50 µm Pitch Micro Bump Processes. Proceedings of the IEEE Electronic Components and Technology Conference May 2013;1122–1126.

327. Berglund CN. A Unified Yield Model Incorporating both Defect and Parametric Effects. IEEE Transactions on Semiconductor Manufacturing. August 1996;9(3):447–454.

328. Kahng AB, Lienig J, Markov IL, Hu J. VLSI Physical Design: From Graph Partitioning to Timing Closure Springer 2011.

329. Otten RHJM. Automatic Floorplan Design. Proceedings of the IEEE/ACM Design Automation Conference June 1982;261–267.

330. Hong X, et al. Corner Block List: An Effective and Efficient Topological Representation of Non-Slicing Floorplan. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2000;8–11.

331. Young EFY, Chu CCN, Zion CS. Twin Binary Sequences: A Non-Redundant Representation for General Non-Slicing Floorplan. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. April 2003;22(4):457–469.

332. Adya SN, Markov IL. Fixed-Outline Floorplanning: Enabling Hierarchical Design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2003;11(6):1120–1135.

333. Chen S, Yoshimura T. Fixed-Outline Floorplanning: Block-Position Enumeration and a New Method for Calculating Area Costs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. May 2008;27(5):858–871.

334. Chan K-C, Hsu C-J, Lin J-M. A Flexible Fixed-Outline Floorplanning Methodology for Mixed-Size Modules. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2013;435–440.

335. Lin JM, Chang YW. TCG: A Transitive Closure Graph Based Representation for Non-Slicing Floorplans. Proceedings of the IEEE/ACM Design Automation Conference June 2001;764–769.

336. Pang Y, Balasa F, Lampaert K, Chang CK. Block Placement with Asymmetry Constraint Based on the O-tree Nonslicing Representation. Proceedings of the IEEE/ACM Design Automation Conference June 2000;464–468.

337. Hong X, et al. Non-Slicing Floorplan and Placement Using Corner Block List Topological Representation. IEEE Transactions on Circuits and Systems II: Express Briefs. May 2004;51(5):228–233.

338. Murata H, Fujiyoshi K, Nakatake S, Kajitani Y. VLSI Module Placement Based on Rectangle-Packing by the Sequence-Pair. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. December 1996;15(12):1518–1524.

339. Knechtel J, Markov IL, Lienig J. Assembling 2-D Blocks into 3-D Chips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. February 2012;31(2):228–241.

340. Tang X, Tian R, Wong DF. Fast Evaluation of Sequence Pair in Block Placement by Longest Common Subsequence Computation. Proceedings of the IEEE Conference on Design, Automation and Test in Europe March 2000;106–111.

341. Tang X, Wong DF. FAST-SP: A Fast Algorithm for Block Placement Based on Sequence Pair. Proceedings of the IEEE Asia and South Pacific Design Automation Conference February 2001;521–524.

342. Kahng AB. Classical Floorplanning Harmful? Proceedings of the ACM International Symposium on Physical Design May 2000;207–213.

343. Kirkpatrick S, Gelatt CD, Vecchi MP. Optimization by Simulated Annealing. Science. May 1983;220(4598):671–680.

344. Bhasker J, Sun S. Static Timing Analysis for Nanometer Designs: A Practical Approach Springer 2009.

345. Law JHY, Young EFY, Ching RLS. Block Alignment in 3D Floorplan Using Layered TCG. Proceedings of the ACM Great Lakes Symposium on VLSI April/May 2006;376–380.

346. Yamazaki H, Sakanushi K, Nakatake S, Kajitani Y. The 3D-Packing by Meta Data Structure and Packing Heuristics. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences. April 2000;E83-A(4):639–645.

347. Cheng L, Deng L, Wong DF. Floorplanning for 3-D VLSI Design. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2005;405–411.

348. Salewski S, Barke E. An Upper Bound for 3D Slicing Floorplans. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2002;567–572.

349. Deng Y, Maly WP. Interconnect Characteristics of 2.5-D System Integration Scheme. Proceedings of the IEEE International Symposium on Physical Design April 2001;341–345.

350. Shiu PH, Ravichandran R, Easwar S, Lim SK. Multi-Layer Floorplanning for Reliable System-on-Package. Proceedings of the IEEE International Symposium on Circuits and Systems. May 2004;V:69–72.

351. Cong J, Wei J, Zhang Y. A Thermal-Driven Floorplanning Algorithm for 3-D ICs. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2004;306–313.

352. Li Z, et al. Hierarchical 3-D Floorplanning Algorithm for Wirelength Optimization. IEEE Transactions on Circuits and Systems I: Regular Papers. December 2006;53(12):2637–2646.

353. Karypis G, Kumar V. Multilevel k-Way Hypergraph Partitioning. Proceedings of the IEEE/ACM Design Automation Conference June 1999;343–348.

354. Yan T, Dong Q, Takashima Y, Kajitani Y. How Does Partitioning Matter for 3D Floorplanning? Proceedings of the ACM International Great Lakes Symposium on VLSI April/May 2006;73–76.

355. [Online]. Available: http://www.cse.ucsc.edu/research/surf/GSRC/progress.html.

356. Fiduccia CM, Mattheyses RM. A Linear-Time Heuristic for Improving Network Partitions. Proceeding of the IEEE/ACM Design Automation Conference June 1982;175–181.

357. Tsai M-C, Wang T-C, Hwang TT. Through-Silicon Via Planning in 3-D Floorplanning. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. August 2011;19(8):1448–1457.

358. Goldberg AV. An Efficient Implementation of a Scaling Minimum-Cost Flow Algorithm. Journal of Algorithms. January 1997;22(1):1–29.

359. Fowler R, Paterson MS, Tanimoto SL. Optimal Packing and Covering in the Plane are NP-Complete. Information Processing Letters. June 1981;12(3):133–137.

360. He X, Dong S, Hong X, Goto S. Integrated Interlayer Via Planning and Pin Assignment for 3D ICs. Proceedings of the IEEE/ACM System Level Interconnect Prediction Workshop July 2009;99–104.

361. Cong J, Kong T, Pan DZ. Buffer Block Planning for Interconnect Driven Floorplanning. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design 1999;358–363 November.

362. Sarkar P, Koh C-K. Routability-Driven Repeater Block Planning for Interconnect-Centric Floorplanning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. May 2001;20(5):660–671.

363. He X, Dong S, Ma Y, Hong X. Simultaneous Buffer and Interlayer Via Planning for 3D Floorplanning. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2009;740–745.

364. Healy M, et al. Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. January 2007;26(1):38–52.

365. P. Shivakumar and N. P. Jouppi, “CACTI 3.0: An Integrated Cache Timing, Power, and Area Model,” HP Western Research Labs, Palo Alto, CA, Technical Report 2001.2, 2001.

366. Eble JC, De VK, Wills DS, Meindl JD. A Generic System Simulator (GENESYS) for ASIC Technology and Architecture Beyond 2001. Proceedings of the IEEE International ASIC Conference September 1996;193–196.

367. [Online]. T. M. Austin, Simplescalar Tool Suite. Available: http://www.simplescalar.com.

368. Brooks D, Tiwari V, Martonosi M. Wattch: A Framework for Architectural-Level Power Analysis and Optimizations. Proceedings of the ACM/IEEE International Symposium on Computer Architecture June 2000;83–94.

369. Sherwani NA. Algorithms for VLSI Physical Design Automation Third Edition Kluwer Academic Publishers 2002.

370. Sun W-J, Sechen C. Efficient and Effective Placement for Very Large Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. March 1995;14(3):349–359.

371. Eisenmann H, Johannes FM. Generic Global Placement and Floorplanning. Proceedings of the IEEE/ACM Design Automation Conference June 1998;269–274.

372. Roy JA, et al. Capo: Robust and Scalable Open-Source Min-Cut Floorplacer. Proceedings of the ACM/SIGDA International Symposium on Physical Design April 2005;224–226.

373. Agnihorti AR, et al. Mixed Block Placement via Fractional Cut Recursive Bisection. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. May 2005;25(5):748–761.

374. Chen T-C, et al. NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs with Preplaced Blocks and Density Constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. July 2008;27(7):187–192.

375. Chan T, Cong J, Sze K. Multilevel Generalized Force-Directed Method for Circuit Placement. Proceedings of the ACM/SIGDA International Symposium on Physical Design April 2005;185–192.

376. Brenner U, Struzyna M. Faster and Better Global Placement by a New Transportation Algorithm. Proceedings of the IEEE/ACM Design Automation Conference June 2005;591–596.

377. Viswanathan N, Chu CC-N. Fastplace: Efficient Analytical Placement Using Cell Shifting, Iterative, Local Refinement and a Hybrid Net Model. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. May 2005;24(5):722–733.

378. Hu B, Marek-Sadowska M. Multilevel Fixed-Point-Addition-Based VLSI Placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. August 2005;24(8):1188–1203.

379. Xiu Z, Ma JD, Fowler SM, Rutenbar RA. Large-Scale Placement by Grid-Warping. Proceedings of the IEEE/ACM Design Automation Conference July 2004;351–356.

380. Quinn N, Breuer M. A Force Directed Component Placement Procedure for Printed Circuit Boards. IEEE Transactions on Circuits and Systems. June 1979;26(6):377–388.

381. Hall KM. An r-Dimensional Quadratic Placement Algorithm. Management Science. November 1970;17(3):219–229.

382. Kennings A, Vorwerk KP. Force-Directed Methods for Generic Placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. October 2006;25(10):2076–2087.

383. Spindler P, Schlichtmann U, Johannes FM. Kraftwerk2 – A Fast Force-Directed Quadratic Placement Approach Using an Accurate Net Model. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. August 2008;27(8):1398–1411.

384. Ohmura M. An Initial Placement Algorithm for 3-D VLSI. Proceedings of the IEEE International Symposium on Circuits and Systems. May 1998;IV:195–198.

385. Tanprasert T. An Analytical 3-D Placement that Preserves Routing Space. Proceedings of the IEEE International Symposium on Circuits and Systems. May 2000;III:69–72.

386. Kaya I, Olbrich M, Barke E. 3-D Placement Considering Vertical Interconnects. Proceedings of the IEEE International SOC Conference September 2003;257–258.

387. Hentschke R, Reis R. A 3D-Via Legalization Algorithm for 3D VLSI Circuits and its Impact on Wire Length. Proceedings of the IEEE International Symposium on Circuits and Systems May 2007;2036–2039.

388. Kim DH, Athikulwongse K, Lim SK. A Study of Through-Silicon-Via Impact on the 3D Stacked Layout. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2009;674–680.

389. [Online]. Available: http://www.iwls.org/iwls2005.

390. Serafy C, Srivastava A. TSV Replacement and Shield Insertion for TSV-TSV Coupling Reduction in 3-D Global Placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. April 2015;34(4):554–562.

391. Serafy C, Shi B, Srivastava A. A Geometric Approach to Chip-Scale TSV Shield Placement for the Reduction of TSV Coupling in 3D-ICs. Integration, The VLSI Journal. June 2014;47(3):307–317.

392. W. C. Naylor, R. Donelly and L. Sha, “Non-Linear Optimization System and Method for Wire Length and Delay Optimization for an Automatic Electric Circuit Placer,” U.S. Patent No. 6,301,693, October 2001.

393. Cong J, Luo G. A Multilevel Analytical Placement for 3D ICs. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2009;361–366.

394. Hsu M-K, Balabanov V, Chang Y-W. TSV-Aware Analytical Placement for 3-D IC Designs Based on a Novel Weighted-Average Wirelength Model. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. April 2013;32(4):497–509.

395. Kahng AB, Wang Q. Implementation and Extensibility of an Analytic Placer. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. May 2005;24(5):734–747.

396. [Online]. Available: http://er.cs.ucla.edu/benchmarks/ibm-place.

397. Wong E, Minz J, Lim SK. Multi-Objective Module Placement for 3-D System-On-Package. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. May 2006;14(5):553–557.

398. Zhou L, Wakayama C, Shi C-JR. CASCADE: A Standard Supercell Design Methodology With Congestion-Driven Placement for Three-Dimensional Interconnect-Heavy Very Large-Scale Integrated Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. July 2007;26(7):1270–1282.

399. Popovich M, Friedman EG. Decoupling Capacitors for Multi-Voltage Power Distribution Systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. March 2006;14(3):217–228.

400. Mezhiba A, Friedman EG. Power Distribution Networks in High Speed Integrated Circuits Kluwer Academic Publishers 2004.

401. [Online]. Available: http://www.gtcad.gatech.edu.

402. Wong E, Minz J, Lim SK. Power Supply Noise-Aware 3D Floorplanning for System-on-Package. Proceedings of the IEEE Topical Meeting on Electrical Performance on Electronic Packaging October 2005;259–262.

403. Hanan M. On Steiner’s Problem with Rectilinear Distance. SIAM Journal of Applied Mathematics. Mach 1966;14(2):255–265.

404. Lou J, Thakur S, Krishnamoorthy S, Sheng HS. Estimating Routing Congestion Using Probabilistic Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. January 2002;21(1):32–41.

405. Cheng L, Hung WNN, Yang G, Song X. Congestion Estimation for 3-D Circuit Architectures. IEEE Transactions on Circuits and Systems II, Express Briefs. December 2004;51(12):655–659.

406. Enbody RJ, Lynn G, Tan KH. Routing the 3-D Chip. Proceedings of the IEEE/ACM Design Automation Conference June 1991;132–137.

407. Tayu S, Ueno S. On the Complexity of Three-Dimensional Channel Routing. Proceedings of the IEEE International Symposium on Circuits and Systems May 2007;3399–3402.

408. Tong CC, Wu C-L. Routing in a Three-Dimensional Chip. IEEE Transactions on Computers. January 1995;44(1):106–117.

409. Minz J, Lim SK. Block-Level 3-D Global Routing With an Application to 3-D Packaging. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. October 2006;25(10):2248–2257.

410. Hashimoto A, Stevens J. Wire Routing by Optimizing Channel Assignment within Large Apertures. Proceedings of the IEEE/ACM Design Automation Conference June 1971;155–169.

411. T. Ohtsuki, Advances in CAD for VLSI: Vol. 4, Layout Design and Verification, Elsevier, 1986.

412. Pathak M, Lim SK. Performance and Thermal-Aware Steiner Routing for 3-D Stacked ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. September 2009;28(9):1373–1386.

413. Boese K, Kahng A, McCoy B, Robins G. Near-Optimal Critical Sink Routing Tree Constructions. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. December 1995;14(12):1417–1436.

414. Elmore WC. The Transient Response of Damped Linear Network with Particular Regard to Wideband Amplifiers. Journal of Applied Physics. January 1948;19(1):55–63.

415. Cong J, Zhang Y. Thermal-Driven Multilevel Routing for 3-D ICs. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2005;121–126.

416. Cong J, Leung K-S, Zhou D. Performance Driven Interconnect Design Based on Distributed RC delay model. Proceedings of the ACM Design Automation Conference June 1993;606–611.

417. Harter A. Three-Dimensional Integrated Circuit Layout Cambridge University Press 1991.

418. Hoefflinger B, Liu ST, Vajdic B. A Three-Dimensional CMOS Design Methodology. IEEE Transactions on Electron Devices. February 1984;ED-31(2):171–173.

419. Alam SM, Troxel DE, Thompson CV. A Comprehesive Layout Methodology and Layout-Specific Circuit Analyses for Three-Dimensional Integrated Circuits. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2002;246–251.

420. Das S, Chandrakasan A, Reif R. Design Tools for 3-D Integrated Circuits. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2003;53–56.

421. [Online]. Available: http://www.ece.ncsu.edu/erl/3DIC/pub.

422. Chen G, Friedman EG. An RLC Interconnect Model Based on Fourier Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. February 2005;24(2):170–183.

423. Metal User’s Guide, www.oea.com.

424. Ramn P, et al. InterChip Via Technology for Vertical System Integration. Proceedings of the IEEE International Interconnect Technology Conference June 2001;160–162.

425. Boese KD, et al. Fidelity and Near-Optimality of Elmore-Based Routing Constructions. Proceedings of the IEEE International Conference on Computer Design October 1993;81–84.

426. Abou-Seido AI, Nowak B, Chu C. Fitted Elmore Delay: A Simple and Accurate Interconnect Delay Model. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. July 2004;12(7):691–696.

427. Fishburn JP, Svenvon CA. Shaping a Distributed-RC Line to Minimize Elmore Delay. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications. December 1995;42(12):1020–1022.

428. Cong J, Leung K-S. Optimal Wiresizing under Elmore Delay Model. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. March 1995;14(3):321–336.

429. Cho JD, et al. Crosstalk-Minimum Layer Assignment. Proceedings of the IEEE Conference on Custom Integrated Circuits May 1993;29.7.1–29.7.4.

430. Ecker JG. Geometric Programming: Methods, Computations and Applications. SIAM Review. July 1980;22(3):338–362.

431. Boyd S, Kim SJ, Vandenberghe L, Hassibi A. A Tutorial on Geometric Programming. Optimization and Engineering. March 2007;8(1):67–127.

432. Zhao W, Cao Y. New Generation of Predictive Technology Model for Sub-45nm Design Exploration. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2006;585–590.

433. Löfberg J. YALMIP: A Toolbox for Modeling and Optimization in MATLAB. Proceedings of the IEEE International Symposium on Computer-Aided Control Systems Design September 2004;284–289.

434. Henrion D, Lasserre JB. GloptiPoly: Global Optimization over Polynomials with Matlab and SeDuMi. ACM Transactions on Mathematical Software. June 2003;29(2):165–194.

435. Che C-P, Zhou H, Wong DF. Optimal Non-Uniform Wire-Sizing under the Elmore Delay Model. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 1996;38–43.

436. Ryu C, et al. High Frequency Electrical Circuit Model of Chip-to-Chip Vertical Via Interconnection for 3-D Chip Stacking Package. Proceedings of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging October 2005;151–154.

437. Pedram M, Nazarian S. Thermal Analysis of Heterogeneous 3-D ICs with Various Integration Scenarios. Proceedings of the IEEE. August 2006;94(8):1487–1501.

438. Banerjee K, Mehrotra A, Sangiovanni-Vincentelli A, Hu C. On Thermal Effects in Deep Sub-Micron VLSI Interconnects. Proceedings of the IEEE/ACM Design Automation Conference June 1999;885–890.

439. Tsai CH, Kang S-M. Cell-Level Placement for Improving Substrate Thermal Distribution. February 2000;19(2):253–266.

440. Szekely V, Rencz M, Courtois B. Tracing the Thermal Behavior of ICs. IEEE Design and Test of Computers. April/June 1998;15(2):14–21.

441. Kleiner MB, Kühn SA, Ramn P, Weber W. Thermal Analysis of Vertically Integrated Circuits. Proceedings of the IEEE International Electron Devices Meeting December 1995;487–490.

442. Zhang Y, Oh H, Bakir MS. Within-Tier Cooling and Thermal Isolation Technologies for Heterogeneous 3D ICs. Proceedings of the IEEE International 3D Systems Integration Conference October 2013;1–6.

443. Tiwari MK, et al. Waste Heat Recovery in Supercomputers and 3D Integrated Liquid Cooled Electronics. Proceedings of the IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems May/June 2012;545–551.

444. Incropera FP, Dewitt DP, Bergman TL, Lavine AS. Introduction to Heat Transfer Fifth Edition John Wiley & Sons 2007.

445. Wu S-T, et al. Thermal and Mechanical Design and Analysis of 3D IC Interposer with Double-Sided Active Chips. Proceedings of the IEEE Electronic Components and Technology Conference May 2013;1471–1479.

446. Bazaz R, Xie J, Swamanathan M. Electrical and Thermal Analysis for Design Exchange Formats in Three-Dimensional Integrated Circuits. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2013;308–315.

447. Chien HC, et al. Thermal Evaluation and Analyses of 3D IC Integration SiP with TSVs for Network System Applications. Proceedings of the IEEE Electronic Components and Technology Conference May/June 2012;1866–1873.

448. Qian H, et al. Thermal Simulator of 3D-IC with Modeling of Anisotropic TSV Conductance and Microchannel Entrance Effects. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2013;485–490.

449. Torregiani C, et al. Compact Thermal Model of Hot Spots in Advanced 3D-Stacked ICs. Proceedings of the IEEE Electronics Packaging Technology Conference December 2009;131–136.

450. Xie J, Swaminathan M. 3D Transient Solver using Non-Conformal Domain Decomposition Approach. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2012;333–340.

451. Pittler MS, Powers DM, Schnabel DL. System Development and Technology Aspects of the IBM 3081 Processor Complex. IBM Journal of Research and Development. January 1982;26(1):2–11.

452. Tuckerman DB, Pease RFW. High-Performance Heat Sinking for VLSI. IEEE Electron Device Letters. May 1981;EDL-2(5):126–129.

453. Dang B, Bakir MS, Meindl JD. Integrated Thermal-Fluidic I/O Interconnects for an On-Chip Microchannel Heat Sink. IEEE Electron Device Letters. February 2006;27(2):117–119.

454. Koomey JG. Worldwide Electricity Used in Date Centers. Environmental Research Letters. September 2008;3:1–8.

455. Kim YJ, et al. Thermal Characterization of Interlayer Microfluidic Cooling of Three-Dimensional Integrated Circuits With Nonuniform Heat Flux. ASME Journal of Heat Transfer. April 2010;132:041009-1–041009-9.

456. Knight RW, Hall DJ, Goodling JS, Jaeger RC. Heat Sink Optimization with Application to Microchannels. IEEE Transactions on Components and Hybrids, and Manufacturing Technologies. October 1992;15(5):832–842.

457. Li J, Peterson GP. Geometric Optimization of a Micro Heat Sink With Liquid Flow. IEEE Transactions on Components and Packaging Technologies. March 2006;29(1):145–154.

458. Im S, Banerjee K. Full Chip Thermal Analysis of Planar (2-D) and Vertically Integrated (3-D) High Performance ICs. Proceedings of the IEEE International Electron Devices Meeting December 2000;727–730.

459. Jain A, Jones RE, Chatterjee R, Pozder S. Analytical and Numerical Modeling of the Thermal Performance of Three-Dimensional Integrated Circuits. IEEE Transactions on Components and Packaging Technologies. March 2010;33(1):56–63.

460. Chiang T-Y, Souri SJ, Chui CO, Saraswat KC. Thermal Analysis of Heterogeneous 3-D ICs with Various Integration Scenarios. Proceedings of the IEEE International Electron Devices Meeting December 2001;681–684.

461. Liu CC, Zhang J, Datta AK, Tiwari S. Heating Effects of Clock Drivers in Bulk, SOI, and 3-D CMOS. IEEE Transactions on Electron Device Letters. December 2002;23(12):716–728.

462. [Online]. Available: http://www.uk.comsol.com/heat-transfer-module.

463. [Online]. Available: http://www.ansys.com/Products/Simulation+Technology/Fluid+Dynamics/Specialized+Products/ANSYS+Icepak.

464. Oprins H, et al. Thermal Test Vehicle for the Validation of Thermal Modeling of Hot-Spot Dissipation in 3D Stacked ICs. Proceedings of the Electronic System-Integration Technology Conference September 2010;1–6.

465. Tan Z, Furmanczyk M, Turowski M, Przekwas A. CFD-Micromesh: A Fast Geometrical Modeling and Mesh Generation Tool for 3D Microsystem Simulations. Proceedings of the International Conference on Modeling and Simulation of Microsystems March 2000;712–715.

466. Wilkerson P, Furmanczyk M, Turowski M. Compact Thermal Model Analysis for 3-D Integrated Circuits. Proceedings of the International Conference on Mixed Design of Integrated Circuits and Systems June 2004;277–282.

467. Wilkerson P, Furmanczyk M, Turowski M. Fast, Automated Thermal Simulation of Three-Dimensional Integrated Circuits. Proceedings of the Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems June 2004;706–713.

468. Chien H-C, et al. Estimation for Equivalent Thermal Conductivity of Silicon-Through Vias (TSVs) Used for 3D IC Integration. Proceedings of the IEEE Microsystems, Packaging, Assembly and Circuits Technology Conference October 2011;153–156.

469. Xu H, Pavlidis VF, De Micheli G. Analytical Heat Transfer Model for Thermal Through-Silicon Vias. Proceedings of the Conference on Design, Automation, and Test, in Europe March 2011;395–400.

470. Liu Z, Swarup S, Tan SX-D. Compact Lateral Thermal Resistance Modeling and Characterization for TSV and TSV Array. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2013;275–280.

471. Wang XP, Yin W-Y, He S. Multiphysics Characterization of Transient Electromechanical Responses of Through-Silicon Vias Applied With a Periodic Voltage Pulse. IEEE Transactions on Electron Devices. June 2010;57(6):1382–1389.

472. Todri-Sanial A, et al. Globally Constrained Locally Optimized 3-D Power Delivery Network. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. October 2014;22(10):2131–2144.

473. Van Valkenburg ME. Network Analysis Third Edition Prentice-Hall 1974.

474. Liu D, Garimella SV. Analysis and Optimization of the Thermal Performance of Microchannel Heat Sinks. International Journal for Numerical Methods in Heat & Fluid Flow. 2005;15(1):7–26.

475. Shi B, Srivastava A, Wang P. Non-Uniform Micro-Channel Design for Stacked 3D-ICs. Proceedings of the ACM/IEEE Design Automation Conference June 2011;658–663.

476. National Research Council, Research Analysis Group, Physics of Sound in the Sea: Part IV Acoustic Properties of Wakes, Technical Report, Division 6, Vol. 8, The Murray Printing Company, 1949, reprinted in 1969.

477. Mizunuma H, Lu Y-C, Yang CL. Thermal Modeling and Analysis for 3-D ICs with Integrated Microchannel Cooling. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. September 2011;30(9):1293–1306.

478. Cheng Y, Tsai C, Teng C, Kang S. Electrothermal Analysis of VLSI Systems Kluwer Academic Publishers 2000.

479. Wunsche S, Claub C, Schwarz P. Electro-Thermal Circuit Simulation Using Simulator Coupling. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. September 1997;5(3):277–282.

480. Ozisik MN. Finite Difference Methods in Heat Transfer CRC 1994.

481. Digele G, Lindenkreuz S, Kasper E. Fully Coupled Dynamic Electro-Thermal Simulation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. September 1997;5(3):250–257.

482. Xie J, Swaminathan M. Electrical-Thermal Co-Simulation of 3D Integrated Systems With Micro-Fluidic Cooling and Joule Heating Effects. IEEE Transactions on Components, Packaging, and Manufacturing Technologies. February 2011;1(2):234–246.

483. Stuben K, Trottenberg U. Multigrid Methods: Fundamental Algorithms, Model Problem Analysis and Applications Lecture Notes in Mathematics, Springer-Verlag 1982.

484. Elman HC, Mihajlović MD, Silvester DJ. Fast Iterative Solvers for Buoyancy Driven Flow Problems. Journal of Computational Physics. May 2011;230:3900–3914.

485. Briggs W. A Multigrid Tutorial SIAM 1987.

486. Ruge J, Stuben K. Algebraic Multigrid (AMG) Methods, Frontiers in Applied Mathematics SIAM 1987.

487. Li P, Pileggi LT, Asheghi M. IC Thermal Simulation and Modeling via Efficient Multigrid-Based Approaches. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. September 2006;25(9):1763–1776.

488. Feng Z, Li P. Fast Thermal Analysis on GPU for 3D ICs With Integrated Microchannel Cooling. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. August 2013;21(8):1526–1539.

489. Oh D, Chen CCP, Hu YH. Efficient Thermal Simulation for 3-D IC With Thermal Through-Silicon Vias. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. November 2012;31(11):1767–1771.

490. Oh D, Chen CCP, Hu YH. 3DFFT: Thermal Analysis of Non-Homogeneous IC Using 3D FFT Green Function Method. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2007;567–572.

491. Melamed S, et al. Junction-Level Thermal Analysis of 3-D Integrated Circuits Using High Definition Power Blurring. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. May 2012;31(5):676–689.

492. Kemper T, Zhang Y, Bian Z, Shakouri A. Ultrafast Temperature Profile Calculation in IC Chips. Proceedings of the International Workshop on Thermal Investigations of ICs and Systems September 2006;1–5.

493. Goncalez RC, Woods RE. Digital Image Processing Prentice-Hall Inc. 2002.

494. Park J-H, Shakouri A, Kang S-M. Fast Thermal Analysis of Vertically Integrated Circuits (3-D ICs) Using Power Blurring Method. Proceedings of the ASME InterPACK Conference July 2009;701–707.

495. Puttaswamy K, Loh GH. Thermal Analysis of a 3-D Die Stacking High-Performance Microprocessor. Proceedings of the ACM International Great Lakes Symposium on VLSI April/May 2006;19–24.

496. Hung W-L, et al. Interconnect and Thermal-Aware Floorplanning for 3-D Microprocessors. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2006;98–103.

497. Zhu C, et al. Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. August 2008;27(8):1479–1492.

498. Zhou X, et al. Thermal Management for 3D Processors via Task Scheduling. Proceedings of the IEEE International Conference on Parallel Processing September 2008;115–122.

499. [Online]. Available: https://en.wikipedia.org/wiki/DEC_Alpha (accessed in November 2016).

500. Zhou P, et al. 3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2007;590–597.

501. Zang Y, et al. ISAC: Integrated Space and Time Adaptive Chip-Package Thermal Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. January 2007;26(1):86–99.

502. Moffitt MD, Ng AN, Markov IL, Pollack ME. Constraint-Driven Floorplan Repair. Proceedings of the IEEE/ACM Design Automation Conference June 2006;1103–1108.

503. Addo-Quaye C. Thermal-Aware Mapping and Placement for 3-D NoC Designs. Proceedings of the IEEE International SOC Conference September 2005;25–28.

504. Goldberg DE. Genetic Algorithms in Search, Optimization, and Machine Learning Addison-Wesley 1989.

505. Goplen B, Sapatnekar S. Efficient Thermal Placement of Standard Cells in 3-D ICs using a Force Directed Approach. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2003;86–89.

506. [Online]. Available: http://vlsicad.eecs.umich.edu/BK/Slots/cache/er.cs.ucla.edu/benchmarks/ibm-place2/.

507. Benini L, De Micheli G. Dynamic Power Management: Design Techniques and CAD Tools Springer 1998.

508. Donald J, Martonosi M. Techniques for Multicore Thermal Management: Classification and New Exploration. Proceedings of the IEEE International Symposium on Computer Architecture June 2006;78–88.

509. Isci C, Martonosi M. Runtime Power Monitoring in Highend Processors: Methodology and Empirical Data. Proceedings of the IEEE/ACM International Symposium on Microarchitecture December 2003;98–104.

510. Choi J. Thermal-Aware Task Scheduling at the System Software Level. Proceedings of the IEEE/ACM International Symposium on Low Power Electronic Design August 2007;213–218.

511. Kumar A, Shang L, Peh L-S, Jha N. HybDTM: A Coordinated Hardware-Software Approach for Dynamic Thermal Management. Proceedings of the IEEE/ACM Design Automation Conference July 2006;548–553.

512. Gomaa M, Powell MD, Vijaykumar TN. Heat-and-Run: Leveraging SMT and CMP to Manage Power Density through the Operating System. Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems October 2004;260–270.

513. Sharifi S, Coskun AK, Simunic-Rosing T. Hybrid Dynamic Energy and Thermal Management in Heterogeneous Embedded Multiprocessor SoCs. Proceedings of the ACM Asia and South Pacific Design Automation Conference January 2010;873–878.

514. Memik SO, Mukherjee R, Ni M, Long J. Optimizing Thermal Sensor Allocation for Microprocessors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. March 2008;27(3):516–527.

515. Ghosh M, Lee H-HS. Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs. Proceedings of the IEEE/ACM International Symposium on Microarchitecture December 2007;134–145.

516. [Online]. Micron DRAM Power Calculator. Available: https://www.micron.com/support/power-calc (accessed in January 2016).

517. [Online] Standard Performance Evaluation Corporation. Available: www.specbench.org.

518. Binkert NL, et al. The M5 Simulator: Modeling Networked Systems. IEEE Micro. July/August 2006;26(4):52–60.

519. Brooks D, Tiwari V, Martonosi M. Wattch: A Framework for Architectural-Level Power Analysis and Optimizations. Proceedings of the ACM/IEEE International Symposium on Computer Architecture June 2000;83–94.

520. D. Tarjan, S. Thoziyoor and N. P. Jouppi, “CACTI 4.0,” HP Laboratories, Palo Alto, CA, Technical Report, HPL-2006-86, June 2006.

521. Srinivasan J, Adve SV, Bose P, Rivers JA. Exploiting Structural Duplication for Lifetime Reliability Enhancement. Proceedings of the ACM/IEEE International Symposium on Computer Architecture June 2005;520–531.

522. Yang Y, et al. Adaptive Multi-Domain Thermal Modeling and Analysis for Integrated Circuit Synthesis and Design. Proceedings of the IEEE International Conference on Computer-Aided Design November 2006;575–582.

523. Kang K, Kim J, Yoo S, Kyung C-M. Runtime Power Management of 3-D Multi-Core Architectures Under Peak Power and Temperature Constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. June 2011;30(6):905–918.

524. Choi K, Soma R, Pedram M. Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Tradeoff Based on the Ratio of Off-Chip Access to On-Chip Computation Times. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. January 2005;24(1):18–28.

525. [Online] Performance Application Programming Interface. Available: http://icl.cs.utk.edu/papi/.

526. Li M-L, et al. The ALPBench Benchmark Suite for Complex Multimedia Applications. Proceedings of the IEEE International Symposium on Workload Characterization October 2005;34–35.

527. Lee S, Kang K, Kyung C-M. Runtime Thermal Management for 3-D Chip-Multiprocessors With Hybrid SRAM/MRAM L2 Cache. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. March 2015;23(3):520–533.

528. De Micheli G, Benini L. Networks on Chips: Technology and Tools Morgan Kaufmann Publishers 2006.

529. Sun G, et al. A Novel Architecture of the 3D Stacked MRAM L2 Cache for CMPs. Proceedings of the IEEE International Symposium on High Performance Computer Architecture February 2009;239–249.

530. Albonesi DH. Selective Cache Ways: On-Demand Cache Resource Allocation. Proceedings of the IEEE/ACM International Symposium on Microarchitecture November 1999;248–259.

531. Pinel S, et al. Thermal Modeling and Management in Ultrathin Chip Stack Technology. IEEE Transactions on Components and Packaging Technologies. June 2002;25(2):244–253.

532. Hu S, et al. A Thermal Isolation Technique Using Through-Silicon Vias for Three-Dimensional ICs. IEEE Transactions on Electron Devices. March 2013;60(3):1282–1287.

533. Wong E, Lim SK. 3D Floorplanning with Thermal Vias. Proceedings of the IEEE Design, Automation, and Test Conference in Europe March 2006.

534. Xiao L, Sinha S, Xu J, Young EFY. Fixed-Outline Thermal-Aware 3D Floorplanning. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2010;561–567.

535. Doyle P, Snell J. Random Walks and Electric Networks Mathematical Association of America 1984.

536. Goplen B, Sapatnekar S. Placement of Thermal Vias in 3-D ICs Using Various Thermal Objectives. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. April 2006;25(4):692–709.

537. Cong J, Zhang Y. Thermal Driven Multilevel Routing for 3-D ICs. Proceedings of the IEEE Asia and South Pacific Design Automation Conference June 2005;121–126.

538. Cong J, Zhang Y. Thermal Via Planning for 3-D ICs. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2005;744–751.

539. Cong J, Xie M, Zhang Y. An Enhanced Multilevel Routing System. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2002;51–58.

540. Cong J, Fang J, Zhang Y. Multilevel Approach to Full-Chip Gridless Routing. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design November 2001;234–241.

541. Li Z, et al. Efficient Thermal Via Planning Approach and its Application in 3-D Floorplanning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. April 2007;26(4):645–658.

542. Cormen TH, Leiserson CE, Rivest RL. Introduction to Algorithms The MIT Press 1990.

543. Wang K, et al. Rethinking Thermal Via Planning with Timing-Power-Temperature Dependence for 3D ICs. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2011;261–266.

544. Zhang T, Zhang Y, Sapatnekar S. Temperature-Aware Routing in 3-D ICs. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2006;309–314.

545. Leon A, et al. A Power-Efficient High-Throughput 32-Thread SPARC Processor. Proceedings of the IEEE International Solid-State Circuits Conference February 2006;295–304.

546. Sabry MM, et al. Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. December 2011;30(12):1883–1896.

547. Qian H, Huang X, Yu H, Chang C. Cyber-Physical Thermal Management of 3D Multi-Core Cache-Processor System with Microfluidic Cooling. Journal of Low Power Electronics. February 2011;7(1):110–121.

548. Hsieh M-C, Yu C-K. Thermo-Mechanical Simulations for 4-Layer Stacked IC Packages. Proceedings of the EuroSimE-International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Micro-Systems April 2008;1–7.

549. Jiang L, et al. Thermal Modeling of On-Chip Interconnects and 3D Packaging Using EM Tools. Proceedings of the IEEE Electrical Performance of Electronic Packaging October 2008;279–282.

550. Jain A, Jones RE, Pozder S. Thermal Modeling and Design of 3D Integrated Circuits. Proceedings of the Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems May 2008;1139–1145.

551. Sridhar A, et al. 3D-ICE: Fast Compact Transient Thermal Modeling for 3D ICs with Inter-Tier Liquid Cooling. Proceedings of the IEEE International Conference on Computer-Aided Design November 2010;463–470.

552. Huang W, et al. Compact Thermal Modeling for Temperature-Aware Design. Proceedings of the IEEE/ACM Design Automation Conference June 2004;878–883.

553. Huang W, et al. HotSpot: A Compact Thermal Modeling Methodology for Early-Stage VLSI Design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. May 2006;14(5):501–513.

554. Cong J, Luo G, Wei J, Zhang Y. Thermal-Aware 3D IC Placement Via Transformation. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2007;780–785.

555. Balakrishnan K, Nanda V, Easwar S. Wire Congestion and Thermal Aware 3D Global Placement. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2005;1131–1134.

556. Puttaswamy K, Loh GH. Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors. Proceedings of the IEEE International Symposium on High Performance Computer Architecture February 2007;193–204.

557. Bakir MS, et al. 3D Heterogeneous Integrated Systems: Liquid Cooling, Power Delivery, and Implementation. Proceedings of the IEEE Custom Integrated Circuits Conference September 2008;663–670.

558. King CR, et al. 3D Stacking of Chips with Electrical and Microfluidic I/O Interconnects. Proceedings of the IEEE Electronic Components and Technology Conference May 2008;1–7.

559. Dang B, et al. Integrated Microfluidic Cooling and Interconnects for 2D and 3D Chips. IEEE Transactions on Advanced Packaging. February 2010;33(1):79–87.

560. Sabry MM, Atienza D, Coskun AK. Thermal Analysis and Active Cooling Management for 3D MPSoCs. Proceedings of the IEEE International Symposium on Circuits and Systems May 2011;2237–2240.

561. Oprins H, et al. Numerical and Experimental Characterization of the Thermal Behavior of a Packaged DRAM-on-Logic Stack. Proceedings of the IEEE Electronic Components and Technology Conference June 2012;1081–1088.

562. Tezzaron Semiconductor, http://www.tezzaron.com/.

563. Yibo C, et al. Through Silicon Via Aware Design Planning for Thermally Efficient 3-D Integrated Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. September 2013;32(9):1335–1346.

564. Santos C, et al. System-Level Thermal Modeling for 3D Circuits: Characterization with a 65nm Memory-on-Logic Circuit. Proceedings of the IEEE International 3-D Systems Integration Conference October 2013;1–6.

565. Vaisband I, Friedman EG. Heterogeneous Methodology for Energy Efficient Distribution of On-Chip Power Supplies. IEEE Transactions on Power Electronics. September 2013;28(9):4267–4280.

566. Andersen TM, et al. A 4.6 W/mm2 Power Density 86% Efficiency On-Chip Switched Capacitor DC-DC Converter in 32 nm SOI CMOS. Proceedings of the IEEE Applied Power Electronics Conference and Exposition March 2013;692–699.

567. Vaisband I, Mahmood A, Friedman EG, Kose S. Digitally Controlled Pulse Width Modulator for On-Chip Power Management. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2014;22(12):2527–2534.

568. Ciftcioglu B, et al. 3-D Integrated Heterogeneous Intra-Chip Free-Space Optical Interconnect. Optics Express. February 2012;20(4):4331–4345.

569. Xue J, et al. An Intra-Chip Free-Space Optical Interconnect. Proceedings of the ACM/IEEE International Symposium on Computer Architecture June 2010;94–105.

570. Ciftcioglu B, et al. A 3-D Integrated Intrachip Free-Space Optical Interconnect for Many-Core Chips. IEEE Photonics Technology Letters. February 2011;23(3):164–166.

571. Wang J, Savidis I, Friedman EG. Thermal Analysis of Oxide-Confined VCSEL Arrays. Microelectronics Journal. May 2011;42(5):820–825.

572. Skadron K, et al. Temperature-Aware Microarchitecture. Proceedings of the IEEE International Symposium on Computer Architecture May 2003;2–13.

573. Meng J, Kawakami K, Coskun AK. Optimizing Energy Eciency of 3-D Multicore Systems with Stacked DRAM under Power and Thermal Constraints. Proceedings of the ACM/IEEE Design Automation Conference March 2012;648–655.

574. Friedman EG, ed. Clock Distribution Networks in VLSI Circuits and Systems. IEEE Press 1995.

575. Deutsch A, Restle PJ. Designing the Best Clock Distribution Network. Proceedings of the IEEE Symposium on VLSI Circuits June 1998;2–5.

576. Friedman EG. Clock Distribution Design in VLSI Circuits-an Overview. Proceedings of the IEEE International Symposium on Circuits and Systems May 1993;1475–1478.

577. Neves JL, Friedman EG. Design Methodology for Synthesizing Clock Distribution Networks Exploiting Non-Zero Clock Skew. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. June 1996;VLSI-4(2):286–291.

578. Jackson MAB, Srinivasan A, Kuh ES. Clock Routing for High-Performance ICs. Proceedings of the ACM/IEEE Design Automation Conference June 1990;573–579.

579. Tsay R-S. An Exact Zero-Skew Clock Routing Algorithm. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. February 1993;12(2):242–249.

580. Edahiro M. An Efficient Zero-Skew Routing Algorithm. Proceedings of the ACM/IEEE Design Automation Conference June 1994;375–380.

581. Boese KD, Kahng AB. Zero-Skew Routing Trees With Minimum Wirelength. Proceedings of the International ASIC Conference September 1992;17–21.

582. Chao T-H, et al. Zero Skew Clock Routing with Minimum Wirelength. IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing. November 1992;39(11):799–814.

583. Friedman EG. Clock Distribution Networks in Synchronous Digital Integrated Circuits. Proceedings of the IEEE. May 2001;89(5):665–692.

584. Alpert CJ, et al. Minimum Buffered Routing with Bounded Capacitive Load for Slew Rate and Reliability Control. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. March 2003;22(3):241–253.

585. Rajaram A, Pan DZ. Robust Chip-Level Clock Tree Synthesis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. June 2011;30(6):877–890.

586. Cong J, Kahng AB, Koh C-K, Tsao C-WA. Bounded-Skew Clock and Steiner Routing. ACM Transactions on Design Automation of Electronic Systems. July 1998;3(3):341–388.

587. Restle PJ, et al. A Clock Distribution Network for Microprocessors. IEEE Journal of Solid-State Circuits. May 2001;36(5):792–799.

588. Ismail YI, Friedman EG, Neves JL. Figures of Merit to Characterize the Importance of On-Chip Inductance. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 1999;7(4):442–449.

589. Arunachalam V, Burleson W. Low-Power Clock Distribution in a Multilayer Core 3D Microprocessor. Proceedings of the ACM Great Lakes Symposium on VLSI May 2008;429–434.

590. Kessler RE. The Alpha 21264 Microprocessor. IEEE Micro. March/April 1999;19(2):24–36.

591. Pang L-T, et al. A Shorted Global Clock Design for Multi-GHz 3D Stacked Chips. Proceedings of the Symposium on VLSI Circuits June 2012;170–171.

592. Wordeman M, Silberman J, Maier G, Scheuermann M. A 3D System Prototype of an eDRAM Cache Stacked Over Processor-Like Logic Using Through-Silicon Vias. Proceedings of the IEEE International Solid-State Circuits Conference February 2012;186–187.

593. Zhao X, Minz J, Lim SK. Low-Power and Reliable Clock Network Design for Through-Silicon Vias (TSV) Based 3D ICs. IEEE Transactions on Components, Packaging, and Manufacturing Technology. February 2011;1(2):247–259.

594. Kim T-Y, Kim T. Clock Tree Embedding for 3D ICs. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January 2010;486–491.

595. Kim T-Y, Kim T. Clock Tree Synthesis with Pre-bond Testability for 3D Stacked IC Designs. Proceedings of the ACM/IEEE Design Automation Conference June 2010;723–728.

596. Zhao Z, Lewis DL, Lee H-HS, Lim SK. Low-Power Clock Tree Design for Pre-Bond Testing of 3-D Stacked ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. May 2011;30(5):732–745.

597. Liu W, et al. Whitespace-Aware TSV Arrangement in 3-D Clock Tree Synthesis. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. September 2015;23(9):1842–1853.

598. Lung C-L, et al. Through-Silicon Via Fault-Tolerant Clock Networks for 3-D ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. July 2013;32(7):1100–1109.

599. Bottoms WR. Test Challenges for 3D Integration. Proceedings of the IEEE International Custom Integrated Circuits Conference September 2011;1–8.

600. Zhao X, Lim SK. Through-Silicon-Via-Induced Obstacle-Aware Clock Tree Synthesis for 3D ICs. Proceedings of the IEEE Asia and South Pacific Design Automation Conference January/February 2012;347–352.

601. Di Natale G, Flottes M-L, Rouzeyre B, Zimouche H. Built-in Self-Test for Manufacturing TSV Defects Before Bonding. Proceedings of the IEEE VLSI Test Symposium April 2014;1–6.

602. Tellez GE, Sarrafzadeh M. Minimal Buffer Insertion in Clock Trees with Skew and Slew Rate Constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. April 1997;16(4):333–342.

603. [Online] GSRC Benchmark Circuits. Available: http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/BST.

604. K. Chakrabarty, S. Deutsch, H. Thapliyal, and F. Ye, “TSV Defects and TSV-Induced Circuit Failures: The Third Dimension in Test and Design for Test,” Proceedings of the IEEE International Reliability Physics Symposium, pp. 5F.1.1-5F.1.12, April 2012.

605. Marinissen EJ, Zorian Y. Testing 3-D Chips Containing Through-Silicon-Vias. Proceedings of the IEEE International Test Conference November 2009;1–11.

606. Lewis DL, Lee H-HS. A Scan-Island Based Design Enabling Pre-Bond Testability in Die- Stacked Microprocessors. Proceedings of the IEEE International Test Conference October 2007.

607. [Online] RMST-Pack. Available: http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/RSMT/ RMST.

608. [Online] ISPD Contest 2009. Available: http://ispd.cc/contests/09/ispd09cts.html.

609. M. Laisne, K. Arabi, and T. Petrov, “System and Methods Utilizing Redundancy in Semiconductor Chip Interconnects,” U.S. Patent No. 8,384,417 B2, February 2013.

610. J. Kim, F. Wang, and M. Nowak, “Method and Apparatus for Providing Through Silicon Via (TSV) Redundancy,” U.S. Patent No. 8,988,130 B2, March 2015.

611. Hsieh AC, et al. TSV Redundancy: Architecture and Design Issues in 3-D IC. Proceedings of the IEEE Conference on Design, Automation and Test in Europe March 2010;1206–1211.

612. Kang U, et al. 8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology. Proceedings of the IEEE International Solid-State Circuits Conference February 2009;130–132.

613. Cui W, Chen H, Han Y. VLSI Implementation of Universal Random Number Generator. Proceedings of the IEEE Asia-Pacific Conference on Circuits and Systems. October 2002;1:465–470.

614. Hedenstierna N, Jeppson KO. CMOS Circuit Speed and Buffer Optimization. IEEE Transactions on Computer-Aided Design. March 1987;CAD-6(2):270–281.

615. Li NC, Haviland GL, Tuszynski AA. CMOS Tapered Buffer. IEEE Journal of Solid-State Circuits. 1990;25(4):1005–1008.

616. Punty C, Gal L. Optimum Tapered Buffer. IEEE Journal of Solid-State Circuits. January 1992;27(1):1005–1008.

617. Cherkauer BS, Friedman EG. A Unified Design Methodology for CMOS Tapered Buffers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. March 1995;3(1):99–111.

618. Savidis I, Pavlidis VF, Friedman EG. Clock Distribution Models of 3-D Integrated Systems. Proceedings of the IEEE International Symposium on Circuits and Systems May 2011;2225–2228.

619. Duvall SG. Statistical Circuit Modeling and Optimization. Proceedings of the International Workshop on Statistical Metrology June 2000;56–63.

620. Asai S, Wada Y. Technology Challenges for Integration Near and Below 0.1 μm. Proceedings of the IEEE. April 1997;85(4):505–520.

621. Sylvester D, Wu C. Analytical Modeling and Characterization of Deep-Submicrometer Interconnect. Proceedings of the IEEE. May 2001;89(5):634–664.

622. Nassif S. Delay Variability: Sources, Impact and Trends. Proceedings of the IEEE International Solid-State Circuits Conference February 2000;368–369.

623. Dietrich M. In: Haase J, ed. Process Variations and Probabilistic Integrated Circuit Design. Springer 2012.

624. Bruner TA. Impact of Lens Aberrations on Optical Lithography. Journal of IBM Research and Development. January 1997;41(1):57–67.

625. Wong AKK. Resolution Enhancement Techniques in Optical Lithography SPIE Press 2001.

626. Matthew I, et al. Design Restrictions for Patterning with Off Axis Illumination. Proceedings of SPIE. May 2004;5754:1574–1585.

627. Chang R, Cao Y, Spanos C. Modeling the Electrical Effects of Metal Dishing due to CMP for On-Chip Interconnect Optimization. IEEE Transactions on Electron Devices. October 2004;51(10):1577–1583.

628. Cain J, Spanos C. Electrical Linewidth Metrology for Systematic CD Variation Characterization and Causal Analysis. Proceedings of SPIE. June 2003;5038:350–361.

629. Orshansky M, Nassif SR, Boning D. Design for Manufacturability and Statistical Design Springer Science+Business Media, LLC 2008.

630. McConaghy T, Breen K, Dyck J, Gupta A. Variation-Aware Design of Custom Integrated Circuits: A Hands-on Field Guide Springer Science+Business Media, LLC 2013.

631. Shreider A. The Monte Carlo Method Pergamon Press 1966.

632. Garg S, Marculescu D. 3D-GCP: An Analytical Model for the Impact of Process Variations on the Critical Path Delay Distribution of 3D ICs. Proceedings of the IEEE International Symposium on Quality of Electronic Design March 2009;147–155.

633. Bowman KA, Duvall SG, Meindl JD. Impact of Die-to-Die and Within-Die Parameter Fluctuations on the Maximum Clock Frequency Distribution for Gigascale Integration. IEEE Journal of Solid-State Circuits. February 2002;37(2):183–190.

634. Eisele M, Berthold J, Schmitt-Landsiedel D, Mahnkopf R. The Impact of Intra-Die Device Parameter Variations on Path Delays and on the Design for Yield of Low Voltage Digital Circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. April 1997;5(4):360–368.

635. Jiang X, Horiguchi S. Statistical Skew Modeling for General Clock Distribution Networks in Presence of Process Variations. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. May 2001;9(5):704–717.

636. Xu H, Pavlidis VF, De Micheli G. Process-Induced Skew Variation for Scaled 2-D and 3-D ICs. Proceedings of the ACM/IEEE System Level Interconnect Prediction Workshop June 2010;17–24.

637. Chang H, Sapatnekar S. Statistical Timing Analysis Under Spatial Correlations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. September 2005;24(9):1467–1482.

638. Bowman KA, et al. Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2009;17(12):1679–1690.

639. Garg S, Marculescu D. System-Level Process Variability Analysis and Mitigation for 3D MPSoCs. Proceedings of the Design, Automation and Test in Europe Conference April 2009;604–609.

640. Agarwal A, Blaauw D, Zolotov V. Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations. Proceedings of the ACM/IEEE International Conference on Computer-Aided Design November 2003;900–907.

641. Orshansky M, et al. Impact of Systematic Spatial Intra-Chip Gate Length Variability on Performance of High-Speed Digital Circuits. Proceedings of the ACM/IEEE International Conference on Computer-Aided Design November 2000;62–67.

642. Hashimoto M, Yamamoto T, Onodera H. Statistical Analysis of Clock Skew Variation in H-tree Structure. Proceedings of the IEEE International Symposium on Quality of Electronic Design. March 2005;88:402–407.

643. [Online] International Technology Roadmap for Semiconductors ITRS, 2009 Edition. Available: http://www.itrs2.net.

644. Xu H, Pavlidis VF, De Micheli G. Effects of Process Variations on 3-D Global Clock Distribution Networks. ACM Journal on Emerging Technologies in Computing Systems. August 2012;8(3):Article 20.

645. Xanthopoulos T, ed. Clocking in Modern VLSI Systems. Springer 2009.

646. [Online] JEDEC Standard, Definition of Skew Specifications for Standard Logic Devices. Available: http://www.jedec.org/sites/default/files/docs/jesd65b.pdf.

647. Razavi B. Phase-Locking in High-Performance Systems: From Devices to Architectures John Wiley & Sons 2003.

648. Saint-Laurent M, Swaminathan M. Impact of Power-Supply Noise on Timing in High-Frequency Microprocessors. IEEE Transactions on Advanced Packaging. February 2004;27(1):135–144.

649. Jang J, Franza O, Burleson W. Compact Expressions for Supply Noise Induced Period Jitter of Global Binary Clock Trees. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2010;20(1):66–79.

650. Wong KL, Rahal-Arabi T, Ma M, Taylor G. Enhancing Microprocessor Immunity to Power Supply Noise With Clock-Data Compensation. IEEE Journal of Solid-State Circuits. April 2006;41(4):749–758.

651. Xu H, Pavlidis VF, Tang X, Burleson W, De Micheli G. Timing Uncertainty in 3-D Clock Trees Due to Process Variations and Power Supply Noise. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2013;21(12):2226–2239.

652. Franch R, et al. On-Chip Timing Uncertainty Measurements on IBM Microprocessors. Proceedings of the IEEE International Test Conference October 2007;1–7.

653. Shinkai K, Hashimoto M, Kurokawa A, Onoye T. A Gate Delay Model Focusing on Current Fluctuation over Wide-Range of Process and Environmental Variability. Proceedings of the ACM/IEEE International Conference on Computer-Aided Design November 2006;47–53.

654. Ismail Y, Friedman EG, Neves J. Equivalent Elmore Delay for RLC Trees. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. January 2000;19(1):83–97.

655. Chen G, Friedman EG. Low-Power Repeaters Driving RC and RLC Interconnects with Delay and Bandwidth Constraints. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. February 2006;14(2):161–172.

656. Zhao X, Mukhopadhyay S, Lim SK. Variation-Tolerant and Low-Power Clock Network Design for 3D ICs. Proceedings of the IEEE Electronic Components and Technology Conference June 2011;2007–2014.

657. Yang J, et al. Robust Clock Tree Synthesis with Timing Yield Optimization for 3D-ICs. Proceedings of the Asia and South Pacific Design Automation Conference January 2011;621–626.

658. Yu L, et al. Methodology for Analysis of TSV Stress Induced Transistor Variation and Circuit Performance. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2012;216–222.

659. Friedberg P, Cain J, Spanos C. Modeling Within-Die Spatial Correlation Effects for Process-Design Co-Optimization. Proceedings of the IEEE International Symposium on Quality of Electronic Design March 2005;516–521.

660. Agarwal A, Zolotov V, Blaauw D. Statistical Clock Skew Analysis Considering Intradie-Process Variations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. August 2004;23(8):1231–1242.

661. [Online] International Technology Roadmap for Semiconductors ITRS, 2010 Edition. Available: http://www.itrs2.net.

662. Pant S, Chiprout E. Power Grid Physics and Implications for CAD. Proceedings of the ACM/IEEE Design Automation Conference July 2006;199–204.

663. Jiao D, Gu J, Kim C. Circuit Design and Modeling Techniques for Enhancing the Clock-Data Compensation Effect Under Resonant Supply Noise. IEEE Journal of Solid-State Circuits. October 2010;45(10):2130–2141.

664. [Online] R. S. Tsay, IBM Clock Benchmarks. Available: http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/BST/#III.

665. Bai G, Bobba S, Hajj IN. Static Timing Analysis Including Power Supply Noise Effect on Propagation Delay in VLSI Circuits. Proceedings of the ACM/IEEE Design Automation Conference June 2011;295–300.

666. Sun J, et al. 3D Power Delivery for Microprocessors and High-Performance ASICs. Proceedings of the IEEE Applied Power Electronics Conference March 2007;127–133.

667. Shinozuka Y, et al. Reducing IR Drop in 3D Integration to Less than ¼ Using Buck Converter on Top Die (BCT) Scheme. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2013;210–215.

668. Schrom G, et al. Optimal Design of Monolithic Integrated DC-DC Converters. Proceedings of the IEEE International Conference on IC Design and Technology May 2006;65–67.

669. Jain P, Kim T-H, Keane J, Kim CH. A Multi-Story Power Delivery Technique for 3D Integrated Circuits. Proceedings of the ACM/IEEE International Symposium on Low Power Electronic Design August 2008;57–62.

670. MITLL Low-Power FDSOI CMOS Process Design Guide, MIT Lincoln Laboratories, September 2008.

671. Zhang R, et al. A Cross-Layer Design Exploration of Charge-Recycled Power-Delivery in Many-Layer 3D-IC. Proceedings of the ACM/IEEE Design Automation Conference June 2015;1–6.

672. Pavlidis VF, De Micheli G. Power Distribution Paths for 3-D ICs. Proceedings of the International ACM Great Lakes Symposium on Very Large Scale Integration May 2009;263–268.

673. Todri-Sanial A, et al. A Study of Tapered 3-D TSVs for Power and Thermal Integrity. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. February 2013;21(2):306–319.

674. Huang G, Bakir MS, Naeemi A, Meindl JD. Power Delivery for 3-D Chip Stacks: Physical Modeling and Design Implication. IEEE Transactions on Components, Packaging, and Manufacturing Technology. May 2012;2(5):852–859.

675. Huang G, et al. Compact Physical Models for Power Supply Noise and Chip/Package Co-Design of Gigascale Integration. Proceedings of the Electronic Components and Technology Conference May/June 2007;1659–1666.

676. Huang G, et al. Power Delivery for 3D Chip Stacks: Physical Modeling and Design Implications. Proceedings of the IEEE Electrical Performance of Electronic Packaging October 2007;205–208.

677. Polyamin AD. Handbook of Linear Partial Differential Equations for Engineers and Scientists Chapman & Hall 2002.

678. Bai P, et al. A 65 nm Logic Technology Featuring 35 nm Gate Lengths, Enhanced Channel Strain, 8 Cu Interconnect Layers, Low-k ILD and 0.57 cm2 SRAM Cell. Proceedings of the IEEE International Electron Device Meeting December 2004;657–660.

679. Kim K, et al. Modeling and Analysis of a Power Distribution Network in TSV-Based 3-D Memory IC Including P/G TSVs, On-Chip Decoupling Capacitors, and Silicon Substrate Effects. IEEE Transactions on Components, Packaging, and Manufacturing Technology. December 2012;2(12):2057–2070.

680. Chen H, Ling D. Power Supply Noise Analysis Methodology for Deep-Submicron VLSI Chip Design. Proceedings of the ACM/IEEE Design Automation Conference June 1997;638–643.

681. Kozhaya JN, Nassif SR, Najm FN. A Multigrid Like Technique for Power Grid Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. October 2002;21(10):1148–1160.

682. Zhong Y, Wong MDF. Thermal-Area IR Drop Analysis in Large Power Grid. Proceedings of the IEEE International Symposium in Quality Electronic Design March 2008;194–199.

683. Katti G, et al. Temperature-Dependent Modeling and Characterization of Through-Silicon Via Capacitance. IEEE Electron Device Letters. April 2011;32(4):563–565.

684. Adamshick S, Coolbaugh D, Liehr M. Feasibility of Coaxial Through Silicon Via 3D Integration. Electronics Letters. August 2013;49(16):1028–1030.

685. Doering R, Nishi Y, eds. Handbook of Semiconductor Manufacturing Technology. CRC Press 2008.

686. Popovich M, Sotman M, Kolodny A, Friedman EG. Effective Radii of On-Chip Decoupling Capacitors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. July 2008;16(7):894–907.

687. Park S-U, et al. Analysis of Reliability Characteristics of High Capacitance Density MIM Capacitors with SiO2–HfO2–SiO2 Dielectrics. Microelectronic Engineering. December 2011;88(12):3389–3392.

688. Pei C, et al. A Novel, Low-Cost Deep Trench Decoupling Capacitor for High-Performance, Low-Power Bulk CMOS Applications. Proceedings of the International Solid-State and Integrated Circuit Technology October 2008;146–1149.

689. Shin Y, Seomun J, Choi K-M, Sakurai T. Power Gating: Circuits, Design Methodologies, and Best Practice for Standard-Cell VLSI Designs. ACM Transactions on Design Automation of Electronic Systems. September 2010;15(4):1–37.

690. Zhang Z, Kavousianos X, Chakrabarty K, Tsiatouhas Y. A Robust and Reconfigurable Multi-Mode Power Gating Architecture. Proceedings of the International Conference on VLSI Design January 2011;280–285.

691. Xu T, Li P, Yan B. Decoupling for Power Gating: Sources of Power Noise and Design Strategies. Proceedings of the ACM/IEEE Design Automation Conference June 2011;1002–1007.

692. Wang H, Salman E. Decoupling Capacitor Topologies for TSV-Based 3-D ICs With Power Gating. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. December 2012;23(13):2983–2991.

693. [Online] FreePDK45. Available: http://www.eda.ncsu.edu/wiki/NCSU_EDA_Wiki (accessed in May 2016).

694. Jeong K, et al. MAPG: Memory Access Power Gating. Proceedings of IEEE Conference on Design, Automation and Test in Europe March 2012;1054–1059.

695. Kim S, Kang S, Han KJ, Kim Y. Novel Adaptive Power Gating Strategy of TSV-Based Multi-Layer 3D IC. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2015;537–541.

696. Song S, Glasser A. Power Distribution Techniques for VLSI Circuits. IEEE Journal of Solid-State Circuits. February 1986;SC-21(1):150–156.

697. Tang KT, Friedman EG. Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. August 2002;10(4):487–493.

698. Tang KT, Friedman EG. Incorporating Voltage Fluctuations of the Power Distribution Network into the Transient Analysis of CMOS Logic Gates. Analog Integrated Circuits and Signal Processing. June 2002;31(3):249–259.

699. Zhao S, Koh C, Roy K. Decoupling Capacitance Allocation and its Application to Power Supply Noise Aware Floorplanning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. January 2002;21(1):81–92.

700. Popovich M, Friedman EG, Sotman M, Kolodny A. On-Chip Power Distribution Grids with Multiple Supply Voltages for High Performance Integrated Circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. July 2008;16(7):908–921.

701. Mukheijee A, Marek-Sadowska M. Clock and Power Gating with Timing Closure. IEEE Transactions on Design and Test of Computers. May 2003;20(3):32–39.

702. Savidis I, Kose S, Friedman EG. Power Noise in TSV-Based 3-D Integrated Circuits. IEEE Journal of Solid-State Circuits. February 2013;48(2):587–597.

703. Rosenfeld J, Friedman EG. A Distributed Filter Within a Switching Converter for Application to 3-D Integrated Circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. June 2011;19(6):1075–1085.

704. Nagata M, Okumoto T, Taki K. A Built-in Technique for Probing Power Supply and Ground Noise Distribution within Large-Scale Digital Integrated Circuits. IEEE Journal of Solid-State Circuits. April 2005;40(4):813–819.

705. M. Sule, Design of Pipeline Fast Fourier Transform Processors Using 3 Dimensional Integrated Circuit Technology, Ph.D. Dissertation, North Carolina State University, December 2007.

706. Dally WJ. Performance Analysis of k-ary n-cube Interconnection Networks. IEEE Transaction on Computers. June 1990;39(6):775–785.

707. Palacharla S, Jouppi ND, Smith JE. Complexity-Effective Superscalar Processors. Proceedings of the IEEE International Conference on Computer Architecture June 1997;206–218.

708. Vaidyanathan B, et al. Architecting Microprocessor Components in 3-D Design Space. Proceedings of the IEEE International Conference on VLSI Design January 2007;103–108.

709. Brent RP, Kung HT. A Regular Layout for Parallel Adders. IEEE Transactions on Computers. March 1982;C-31(3):260–264.

710. Kogge PM, Stone HS. A Parallel Algorithm for the Efficient Solution of a General Class of Recurrence Equations. IEEE Transactions on Computers. August 1973;C-22(8):786–793.

711. Black B, et al. Die Stacking (3D) Microarchitecture. Proceedings of the IEEE/ACM International Symposium on Microarchitecture December 2006;469–479.

712. Mukherjee SS, et al. The Alpha 21364 Network Architecture. IEEE Micro. January/February 2002;22(2):26–35.

713. Xie Y, Loh GH, Black B, Bernstein K. Design Space Exploration for 3D Architectures. ACM Journal on Emerging Technologies in Computing Systems. April 2006;2(2):65–103.

714. Smith AJ. Cache Memories. ACM Computing Surveys. September 1982;14(3):473–530.

715. Sahuquillo J, Pont A. Splitting the Data Cache: A Survey. IEEE Concurrency. July/September 2000;8(3):30–35.

716. Tsai Y-F, et al. Design Space Exploration for 3-D Cache. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. April 2008;16(4):444–455.

717. Zhang K, et al. A SRAM Design on 65 nm CMOS Technology with Integrated Leakage Reduction Scheme. Proceedings of the IEEE International Symposium on VLSI Circuits June 2004;294–295.

718. Zeng A, Lü J, Rose K, Gutmann RJ. First-Order Performance Prediction of Cache Memory with Wafer-Level 3D Integration. IEEE Design and Test of Computers. November/December 2005;22(6):548–555.

719. Wilton SJE, Jouppi ND. CACTI: An Enhanced Cache Access and Cycle Time Model. IEEE Journal of Solid-State Circuits. May 1996;31(5):677–688.

720. Mamidipaka M, Khouri K, Dutt N, Abadir M. Analytical Models for Leakage Power Estimation of Memory Array Structures. Proceedings of the IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis September 2004;146–151.

721. Link GM, Vijaykrishnan N. Thermal Trends in Emergent Technologies. Proceedings of the IEEE International Symposium on Quality Electronic Design March 2006;625–632.

722. Kleiner MB, Kühn SA, Ramn P, Weber W. Performance Improvement of the Memory Hierarchy of RISC-Systems by Application of 3-D Technology. IEEE Transactions on Components, Packaging, and Manufacturing Technology – Part B. November 1996;19(4):709–718.

723. Albonesi DH, Koren I. Improving the Memory Bandwidth of Highly-Integrated, Wide-Issue, Microprocessor-Based Systems. Proceedings of the IEEE International Conference on Paraller Architectures and Compilation Techniques November 1997;126–135.

724. Suzuki K, et al. A 500 MHz, 32 bit, 0.4 μm CMOS RISC Processor. IEEE Journal of Solid-State Circuits. December 1994;29(12):1464–1473.

725. Gimarc CE, Milutinovic VM. A Survey of RISC Processors and Computers of the Mid-1980s. IEEE Computer. September 1987;20(9):59–69.

726. [Online] Intel. Available: http://www.intel.com/products/processor/core2/index.htm.

727. Loh GH, Xie Y, Black B. Processor Design in 3D Die-Stacking Technologies. IEEE Micro. May/June 2007;27(3):31–48.

728. Guz Z, Keidar I, Kolodny A, Weiser UC. Nahalal: Cache Organization for Chip Multiprocessors. Computer Architecture Letters. January 2007;6(1):21–24.

729. Bertozzi D, et al. NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip. IEEE Transactions on Parallel and Distributed Systems. February 2005;16(2):113–129.

730. Koob JC, et al. Design of a 3-D Fully Depleted SOI Computational RAM. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. March 2005;13(3):358–368.

731. Kumar S, et al. A Network on Chip Architecture and Design Methodology. Proceedings of the IEEE International Annual Symposium on VLSI April 2002;105–112.

732. Feero BS, Pande PP. Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation. IEEE Transactions on Computers. January 2009;58(1):32–45.

733. Seiculescu C, Murali S, Benini L, De Micheli G. SunFloor 3D: A Tool for Networks On Chip Topology Synthesis for 3D Systems on Chips. Proceedings of the ACM/IEEE Design, Automation and Test in Europe Conference April 2009;9–14.

734. Pavlidis VF, Friedman EG. 3-D Topologies for Networks-on-Chip. Proceedings of the IEEE International SOC Conference September 2006;285–288.

735. Li F, et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory. Proceedings of the IEEE International Symposium on Computer Architecture June 2006;130–142.

736. Wang Y, et al. Economizing TSV Resources in 3-D Network-on-Chip Design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. March 2015;23(3):493–506.

737. Pavlidis VF, Friedman EG. 3-D Topologies for Networks-on-Chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. October 2007;15(10):1081–1090.

738. Jantsch A, Tenhunen H. Networks on Chip Kluwer Academic Publishers 2003.

739. Millberg M, et al. The Nostrum Backbone-A Communication Protocol Stack for Networks on Chip. Proceedings of the IEEE International Conference on VLSI Design January 2004;693–696.

740. Duato JM, Yalamanchili S, Ni L. Interconnection Networks: An Engineering Approach Morgan Kaufmann 2003.

741. Dally WJ, Towles B. Principles and Practices of Interconnection Networks Morgan Kaufmann 2004.

742. Peh L-S, Dally WJ. A Delay Model for Router Microarchitectures. IEEE Micro. January/February 2001;21(1):26–34.

743. Sakurai T. Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSIs. IEEE Transactions on Electron Devices. January 1993;40(1):118–124.

744. Sakurai T, Newton AR. Alpha-Power Law MOSFET Model and its Applications to CMOS Inverter Delay and other Formulas. IEEE Journal of Solid-State Circuits. April 1990;25(2):584–594.

745. Banerjee K, Mehrotra A. A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Design. IEEE Transactions on Electron Devices. November 2002;49(11):2001–2007.

746. Veendrick HJM. Short-Circuit Dissipation of Static CMOS Circuitry and its Impact on the Design of Buffer Circuits. IEEE Journal of Solid-State Circuits. August 1984;SC-19(4):468–473.

747. Nose K, Sakurai T. Analysis and Future Trend of Short-Circuit Power. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. September 2000;19(9):1023–1030.

748. Chen G, Friedman EG. Effective Capacitance of RLC Loads for Estimating Short-Circuit Power. Proceedings of the IEEE International Symposium on Circuits and Systems May 2006;2065–2068.

749. O’Brien PR, Savarino TL. Modeling the Driving-Point Characteristic of Resistive Interconnect for Accurate Delay Estimation. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design April 1989;512–515.

750. Wang H, Peh L-S, Malik S. Power-Driven Design of Router Microarchitectures in On-Chip Networks. Proceedings of the IEEE International Symposium on Microarchitecture December 2003;105–116.

751. Marcon C, et al. Exploring NoC Mapping Strategies: An Energy and Timing Aware Technique. Proceedings of the ACM/IEEE Design, Automation and Test in Europe Conference. March 2005;1:502–507.

752. Pande PP, et al. Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures. IEEE Transactions on Computers. August 2005;54(8):1025–1039.

753. Marculescu R, Ogras UY, Zamora NH. Computation and Communication Refinement for Multiprocessor SoC Design: A System-Level Perspective. ACM Transactions on Design Automation of Electronic Systems. July 2006;11(3):564–592.

754. Soteriou V, Wang H, Peh L-S. A Statistical Trace Model for On-Chip Interconnection Networks. Proceedings of the IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems September 2006;104–116.

755. Siozios K, Sotiriadis K, Pavlidis VF, Soudris D. Exploring Alternative 3D FPGA Architectures: Design Methodology and CAD Tool Support. Proceedings of the IEEE International Conference on Field Programmable Logic and Applications August 2007;652–655.

756. Chiu G-M. The Odd-Even Turn Model for Adaptive Routing. IEEE Transactions on Parallel and Distributed Systems. July 2000;11(7):729–738.

757. Lahiri K, et al. Evaluation of the Traffic-Performance Characteristics of System-on-Chip Communication Architectures. Proceedings of the Conference on VLSI Design October 2000;29–35.

758. Ye TT, Benini L, De Micheli G. Analysis of Power Consumption on Switch Fabrics in Network Routers. Proceedings of the IEEE/ACM Design Automation Conference June 2002;524–529.

759. Feero B, Pande PP. Performance Evaluation for Three-Dimensional Networks-on-Chip. Proceedings of the IEEE International Symposium on VLSI March 2007;305–310.

760. [Online]. Available: http://www.xilinx.com.

761. Alexander MJ, et al. Placement and Routing for Three-Dimensional FPGAs. Proceedings of the Canadian Workshop on Field-Programmable Devices May 1996;11–18.

762. [Online]. Available: http://www.xilinx.com/products/silicon_solutions/fpgas/spartan_series/spartan3_fpgas/index.htm.

763. Rahman A, Das S, Chandrakasan AP, Reif R. Wiring Requirement and Three-Dimensional Integration Technology for Field Programmable Gate Arrays. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. February 2003;11(1):44–53.

764. Lemieux GG, Brown SD. A Detailed Routing Algorithm for Allocating Wire Segments in Field-Programmable Gate Arrays. Proceedings of the IEEE Physical Design Workshop April 1993;215–226.

765. Betz V, Rose J. VPR: A New Packing, Placement, and Routing Tool for FPGA Research. Proceedings of the International Workshop on Field Programmable Logic Applications September 1997;213–222.

766. Wong SC, Lee G-Y, Ma D-J. Modeling of Interconnect Capacitance, Delay, and Crosstalk in VLSI. IEEE Transactions on Semiconductor Manufacturing. February 2000;13(1):108–111.

..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset