11
Antenna, PHY and MAC Design

Frank Schaich1, Catherine Douillard2, Charbel Abdel Nour2, Malte Schellmann3, Tommy Svensson4, Hao Lin5, Honglei Miao6, Hua Wang7, Jian Luo3, Milos Tesanovic8, Nuno Pratas9, Sandra Roger10 and Thorsten Wild1

1 Nokia Bell Labs, Germany

2 IMT Atlantique Bretagne‐Pays de la Loire, France

3 Huawei German Research Center, Germany

4 Chalmers University of Technology, Sweden

5 Orange, France

6 Intel, Germany

7 Keysight Technologies, Denmark

8 Samsung Electronics R&D Institute, UK

9 Aalborg University, Denmark

10 Universitat Politècnica de València, Spain

With contributions from Rana Ahmed Salem, Mario Castaneda, Xitao Gong and Dinh Thuy Phan Huy.

11.1 Introduction

The 5th generation (5G) air interface (AI) constitutes the complete radio access network (RAN) protocol stack, i.e., the physical layer (PHY), Media Access Control (MAC), Radio Link Control (RLC), Packet Data Convergence Protocol (PDCP), Radio Resource Control (RRC) and Service Data Adaptation Protocol (SDAP), and all related functionalities describing the interaction between infrastructure and device. Furthermore, it covers all services, bands, cell types, etc., expected to characterize the overall 5G system. This chapter describes the lower part of the protocol stack, namely, PHY/MAC related technologies, and highly related aspects, such as antenna design. Before heading to the detailed elaborations in subsequent sections, we start with establishing basic design criteria and assumptions. While we keep this chapter more open than the current status of discussions in 3GPP, we still relate to 3GPP where possible and reasonable.

Earlier generations of wireless mobile communications under the framework of the 3rd Generation Partnership Project (3GPP) have exclusively used transmission frequencies below 6 GHz. While this frequency range is still of high value for 5G and thus on the agenda of 3GPP, 5G will go beyond this and deploy transmission points radiating with higher frequencies up to 100 GHz. Details on the usage of the different spectral regions can be found in Section 3.4. In particular, the exploitation of millimeter‐wave (mmWave) frequencies, in the context of cellular systems typically associated with the wider range of 6‐100 GHz, puts a number of challenges on the AI design, requiring special and dedicated mechanisms both at PHY and MAC. 5G will be required to support both frequency division (FDD) and time division duplexing (TDD) and will rely on heterogeneous deployment layouts being built upon a macro layer for providing ubiquitous coverage applying a frequency reuse of one and a small cell capacity layer (probably at mmWave frequencies in many of the deployments) for boosting the throughput in areas of high demand. The following sections will elaborate on various technical parts of the AI having those characteristics in mind and carve out respective particularities.

The support of multiple antennas both at the base station (BS) and at the device will be a fundamental corner stone of 5G. As with 4G, 5G will make use of this for enhancing both the throughput per area via spatial reuse and the coverage ‐ both due to the virtue of beamforming gains and the use of diversity mechanisms, and thanks to coordinative means between adjacent cells exploiting the spatial selectivity of beamformed signals. Beamforming can be implemented in digital domain and/or in analog domain. Especially at mmWave frequencies, due to the large bandwidth, the large number of antennas and the lower efficiency of electronics, analog beamforming technologies need to be exploited to allow practical implementation. Currently, hybrid beamforming techniques that combine the merits of analog and digital beamforming have been developed and are widely considered as a design assumption for mmWave communications. Section 11.5 will provide detailed insights into the overall concept and will elaborate on the available options.

While each transition from one generation to the next (i.e., from 2G to 3G and from 3G to 4G) has introduced fundamentally different signal formats and mechanisms to multiplex users1, the move from 4G to 5G is expected to be less radical when it comes to this choice. According to agreements at 3GPP RAN meetings2, the early incarnation of 5G, referred to as New Radio (NR) phase 1, see also Section 17.2, will still rely on Cyclic‐Prefix Orthogonal Frequency Division Multiplex (CP‐OFDM) as 4G does, multiplexing users in time, frequency, and space. As with 4G, 5G will potentially allow for discrete Fourier transform (DFT) precoding to achieve more favorable peak to average power ratio (PAPR) conditions. Optionally, filtering or windowing functionalities can be used to further enhance specific characteristics of the signal. In Section 11.3, a more in‐depth analysis of the waveform candidates and means for multiplexing user transmissions are provided. Beside candidates being in line with the current NR draft from 3GPP, we present further promising enhancements to provide the reader with a more comprehensive long‐term view. This is especially relevant as later releases of 5G might still allow for the further introduction of those.

The most potent mechanisms for increasing the reliability of a single wireless transmission link are Forward Error Correction (FEC) and Hybrid Automatic Repeat reQuest (HARQ). 4G applies for the former convolutional Turbo codes (CTC) to protect the data channels, and a combination of tail‐biting convolutional codes (TBCC) and repetition coding for the control channels. 3GPP has agreed towards the use of Low Density Parity Check (LDPC) codes for data channels and Polar codes (PC) for control channels. In the downlink (DL), 4G applies asynchronous adaptive HARQ, while the uplink (UL) is synchronous. Both variants rely on single‐bit feedbacks. 5G will rely on asynchronous variants in both directions. Additionally, recent studies indicate the benefit of making the retransmissions adaptive and allowing for more sophisticated feedbacks. In Section 11.4, more details are provided on the related options that can be drawn from both FEC and HARQ, and the respective interdependencies.

The wireless channel is a shared medium, and the available spectral resources consequently have to be allocated to the respective transmission requests satisfying each user, while keeping the overall system spectrally efficient, as covered in detail in Chapter 12. 4G supports both individually scheduled access on a per transmit time interval (TTI) basis (fixed to 1 ms, at least for the earlier incarnations of 4G) and semi‐persistent scheduling (SPS). Some of the new use cases being foreseen to be served by 5G and their respective requirements are implying different access types to potentially be more efficient (e.g., contention‐based access and pre‐emptive scheduling). In fact, 3GPP has recently agreed that NR shall support grant‐free, SPS‐like, Physical Uplink Shared CHannel (PUSCH) transmissions, which can be used to reduce the scheduling latency [3]. Additionally, for serving use cases with very stringent timing requirements, a more fine‐grained resource allocation needs to be applied, i.e., beside the basic scheduling periodicity of 1 ms, 5G requires to support in parallel a faster scheduling process based on the time basis of, e.g., 1/4 or even 1/8 ms. In fact, 3GPP has now agreed that the time interval between scheduling request (SR) resources configured for a user equipment (UE) can be smaller than a slot (which is the basic scheduling unit). What this in practice means is an agreement by 3GPP to support shorter periodicities for transmitting SRs. Section 11.6 covers these points in more detail both for the design of control channels and data channels. Furthermore, when considering high‐density deployments of mmWave small cells, reusing the same spectrum and AI for backhaul and access becomes a good option to relax backhaul and deployment cost, as detailed in Section 7.4. For this purpose, joint scheduling of backhaul and access resources across multiple cells becomes essential to allow the system to operate efficiently.

The remainder of this chapter is structured as follows. Section 11.2 covers relevant criteria for the PHY and MAC design, including considerations of harmonization, for instance between different radio access technologies (RATs). Then, Section 11.3 delves into details on waveforms, numerology and modulation schemes, followed by Section 11.4 on coding approaches and HARQ. Section 11.5 ventures in detail into antenna design, analog, digital and hybrid beamforming, Section 11.6 covers novel PHY and MAC design paradigms and specific solutions for serving and multiplexing the main service types envisioned for 5G, before Section 11.7 summarizes the chapter.

11.2 PHY and MAC Design Criteria and Harmonization

The early incarnations of 4G have focused on efficiently delivering mobile Internet services to devices, such as smart phones and tablets. For this kind of traffic, the most relevant performance indicator to improve is the throughput both per user and per area. To satisfy its customers, operators need to deliver sufficiently high and most importantly consistent data rates where and whenever needed. Hence, the main concern in designing 4G has been to maximize spectral efficiency and spatial reuse. Especially areas not having a dominant connection to a single BS, i.e., at the cell edge, had to be treated carefully. These areas are interference‐limited instead of being noise‐limited and thus require special attention, e.g., by applying dedicated mechanisms, such as (further enhanced) inter‐cell‐interference coordination (Fe)ICIC mechanisms to coordinate the transmissions of BSs in the vicinity. For details on these mechanisms and potential improvements for 5G, the interested reader is referred to Section 12.5. 4G has additionally provided a flat network architecture and a self‐organizing approach for the handover process, which has reduced latency significantly. Also, high reliability in 4G is ensured through lower‐layer techniques, such as HARQ and FEC, and higher‐layer techniques, such as the design of RLC with its acknowledged mode (AM) type of transfer. New and emerging use cases, such as ultra‐reliable low‐latency communications (URLLC), vehicular‐to‐anything (V2X) or industrial automation, as well as the need to simultaneously support multiple use cases, imply that the AI design needs to be substantially revisited for the 5G era.

More specifically, 5G NR is anticipated to support a much more diverse set of use cases, as outlined in Section 2.2, and with respective requirements detailed in Section 2.3. Obviously, while throughput is still of very high relevance, 5G is required to support a much wider range of requirements being related to various aspects, such as low latency, high reliability, and energy and cost efficiency at the device.

Beside the wide range of use cases to be supported, further aspects requiring special attention are the wide range of deployment types (e.g., dense urban vs. rural, pure macro‐cellular vs. heterogeneous networks, and train lines) and link characteristics (e.g., a wide range of Doppler and delay spreads) as well as the wide range of spectrum below and above 6 GHz. Regarding the spectrum, the most probable mmWave frequencies would be 26 GHz, 28 GHz, 32 GHz and 40 GHz, as detailed in Section 3.4. Different frequency bands imply different bandwidths, propagation conditions and/or even regulations. Accordingly, the 5G NR should be scalable and reconfigurable to be able to properly support the different properties of these.

In a nutshell, 5G in general and the PHY and MAC layers in particular should be designed having the following criteria in mind:

  • To have a high degree of flexibility and versatility for supporting the broad class of services with their associated broad class of key performance indicators (KPIs) and to enable efficient multi‐service support (i.e., meeting the high heterogeneity of requirements) while dealing with high heterogeneity of deployment types, operating frequencies and link characteristics;
  • To be highly scalable to efficiently support a large number of devices and a wide range of antenna system designs, for instance including different hybrid beamforming architectures, different bandwidths and carrier frequency configurations;
  • To allow for satisfactory service quality where‐ and whenever needed, both related to consistent service quality (e.g., by introducing special means to improve cell edge performance, such as interference mitigation techniques) and related to the provision of capacity peaks in respective areas (e.g., by the introduction of high capacity links in crowded areas);
  • To be highly efficient to support the requirements on energy consumption and resource utilization and to enable high spectral, energy, and cost efficiency in general;
  • To be highly robust to hardware impairments to allow reduction of hardware costs and to enable cost‐efficient operation in mmWave frequencies, where such impairments (e.g., phase noise) are in general more severe than in lower frequencies;
  • To be future‐proof/forward compatible to support easy integration of new services, functionalities and new frequencies without the need of redesigning the AI;
  • To enable tight interworking and synergies between different RATs, such as 4G and 5G, or different 5G AI variants (AIVs), such as variants for below and above 6 GHz. Here, different levels of integration should be possible, ranging from RAN‐level integration up to loose higher layer co‐existence or even core network (CN) interconnection. In the following, we treat this aspect related to the lower layers of the protocol stack. Further details on the RAN‐level integration of multiple RATs or AIVs can be found in Sections 6.5 and 12.4.

11.3 Waveform Design

One fundamental component of the AI is the underlying waveform, which needs to be designed to properly match to various conditions that can be expected during operation of the wireless communication system. For the most general categorization, there are two different types of waveform designs, namely single‐carrier and multi‐carrier. For single‐carrier waveforms, a single symbol – constituted of a modulated data symbol and an appropriate pulse shape – spans the entire bandwidth B available for transmission, and symbols are transmitted consecutively at a rate of B without inserting any guard symbols or zeros in between. Transmitting these signals via delay‐spread channels causes the symbols at the receiver to overlap. To compensate for this, channel estimation and calculation of the corresponding coefficients for a finite impulse response (FIR) or infinite impulse response (IIR) equalization filter is required. For channel estimation, preamble signals need to be frequently transmitted to enable capturing the channel’s time variance. Based on these, the channel coefficients and appropriate equalization filter coefficients can be calculated at the receiver. The length of the preamble as well as the complexity for filter calculation and filter operations scales with the number of channel delay taps, which is one of the reasons why single‐carrier waveforms are preferred for the application in channels with low delay spread. The other reason is that single‐carrier waveforms cannot access the channel in a frequency‐selective manner, but instead imply an averaging of the channel quality over the transmission bandwidth. Thus, deep fades that may occur in highly frequency‐selective channels may severely degrade the system performance.

Multi‐carrier waveforms, on the other hand, divide the available transmission bandwidth into a number of N subcarriers of equal bandwidth and thus allow transmitting independent symbols on these subcarriers in parallel. Choosing N narrowband signals instead of a single wideband signal extends the transmitted symbols in time and thus reduces vulnerabilities to delay spreads from multi‐path propagation. The subcarrier bandwidth is usually chosen much smaller than the channel coherence bandwidth, so that each subcarrier signal effectively experiences a flat channel. This significantly simplifies the channel equalization process, as each subcarrier signal can be equalized by a single complex multiplication. Moreover, channel estimation is also simplified, since so‐called scattered pilot grids can be used, where only a few of the total N subcarriers are selected to carry pilot symbols for channel estimation. From this, it becomes evident that the application of multi‐carrier signals is favourable in particular for channels with high frequency selectivity, i.e., exhibiting a large delay spread. If coded transmission is applied, the effect of deep fades can further be well alleviated thanks to a frequency‐selective channel access, yielding a much better performance than corresponding single‐carrier systems. There is a price to pay, though, and that is related to the fluctuation of the transmit signal amplitude leading to a higher peak‐to‐average power ratio (PAPR) compared to single‐carrier signaling, which scales with the number of subcarriers N, challenging the requirements on the power amplifier (PA).

The most prominent representative of a multi‐carrier waveform is OFDM. Here, the subcarrier signals utilize the maximum bandwidth of B/N, thus attaining high spectral efficiency. Though the spectra of the subcarrier signals overlap in frequency domain, an orthogonal design of those spectra ensures an interference‐free reconstruction at the receiver. The number of subcarriers for practical implementation is typically chosen as a power of 2, which allows using computationally efficient fast Fourier transform (FFT) algorithms for generating transmit signals and analyzing received signals, respectively. The spectrum of each subcarrier signal has a sinc‐shape, which translates to the rectangular pulse in time domain. Typically, a guard interval is used for the transmission between two successive OFDM symbols in time domain, which should be larger than the channel’s delay spread to protect the OFDM symbols from any inter‐symbol interference. This, however, creates an additional overhead, which degrades the spectral efficiency. To keep this overhead small, the required size of the guard interval is usually decisive for the subcarrier bandwidth and thus the number of subcarriers N. Most of the OFDM systems operated today fill this guard interval with a cyclic extension of the OFDM symbol, yielding the so‐called cyclic prefix of a CP‐OFDM signal. However, also other measures are possible, such as zero padding yielding a zero prefix (ZP‐OFDM), or using a unique word (UW‐OFDM) as a prefix, which can then also beneficially be used for channel estimation purposes (see Section 11.3.1.2 and [4]). Pure ZP‐OFDM is usually not favoured to be applied in practice, since it degrades the PAPR and challenges the PA due to the sudden drops of the signal to zero.

As described above, OFDM schemes as used in today’s systems have indeed favourable properties for application in practice; however, they also exhibit some drawbacks. In particular, they require tight synchronization in time and frequency to maintain the signal orthogonality, and they are vulnerable to Doppler distortions in highly mobile channels. Moreover, they rely on a fixed configuration of the so‐called numerology, constituted by the number of subcarriers N and the size of the guard interval, which is usually chosen as a best fit for supporting all the channel conditions that are expected during system operation. Adaptations or adjustment of the numerology during operation are not yet foreseen and are not well supported by conventional OFDM anyway, in particular if different numerologies should be supported simultaneously within the available bandwidth B to provide the system more flexibility to respond to the particular requirements of new services and use cases. The main reason for all these deficiencies is the sinc‐shape of the subcarrier spectra, which has a poor localization of the signal power in the frequency domain due to its high side lobes. For improving the spectral containment of the subcarrier signals, OFDM can be extended by filtering components, which suppress the side lobes of the subcarrier signals. This can be done either by windowing of the time domain signal, which translates to filtering each subcarrier signal in frequency domain, or by filtering in time domain with a filter spanning a set of subcarrier signals – a so‐called sub‐band. In both cases, the filters can be designed to exhibit a steep power roll‐off at the edges of a sub‐band of a desired size, thus minimizing the power leaking into the adjacent band. Depending on the design constraints, successively transmitted filtered or windowed OFDM symbols may overlap, which may be accounted for at the receiver to avoid interference to arise. This becomes necessary, though, only for a larger filter length going significantly beyond the length of the prefix. While for a fixed filter length, filtered OFDM signals can attain a steeper power slope at the edge of a sub‐band, windowed OFDM signals provide additional robustness against frequency errors and Doppler distortions – thanks to the fact that the signal spectra of the individual subcarriers have been uniformly modified. If properly designed, filtering and windowing do not change the orthogonality of the OFDM system, and, hence, all algorithms developed for OFDM can be reused without any alteration.

A special case of a windowed OFDM system with overlapping symbols is Filter Bank Multi‐Carrier (FBMC). With FBMC, no guard interval is required, and thus the maximum spectral efficiency can be achieved. However, due to the restrictions of the Balian‐Low theorem [5], which states that it is not possible to attain maximum spectrum efficiency with a well‐localized pulse power in time and frequency while maintaining complex orthogonality, either the power localization needs to be compromised or the signal orthogonality needs to be relaxed. The latter can be realized with Offset Quadrature Amplitude Modulation (OQAM), where the FBMC symbols carry real‐valued data on the subcarriers only, and successive symbols are transmitted at double the symbol rate 2/T = 2B/N. A complex modulation pattern ensures that the real‐valued data of symbols overlapping within a period T overlay in different dimensions of the complex signal space, so that they can be easily reconstructed at the receiver. Hence, orthogonality in OQAM‐FBMC exists only in the real field and no longer in the complex field as in OFDM. As a consequence, several schemes designed for OFDM cannot be directly transferred to be used with OQAM‐FBMC, but require some redesign of selected signal processing procedures. Though OQAM‐FBMC received a lot of attention in research during the past years, this latter fact hampered this waveform to get commonly accepted as a mature candidate for 5G. More details on 5G waveform design can be found in [6].

In recent 3GPP discussions on the waveform to be used for NR, where the focus has been set on enhanced mobile broadband (eMBB) and URLLC services, it has been agreed that the waveform underlying NR should be based on CP‐OFDM [3]. It may be extended by filtering components like filtering and windowing, but this filtering option should be transparent to the receiver. In practice, this means that the schemes should work properly even for the case that the receiver applies a simple CP‐OFDM receiver without any further filtering. This transparency requirement can be supported by all extended OFDM schemes where the length of the filter tails does not go significantly beyond the length of the guard interval. Depending on the particular filter design, evaluations have shown that the tails are allowed to overlap with preceding and succeeding symbols by up to a maximum of 50% of the symbol period N/B for supporting the transparency requirement. However, it should be noted that some performance degradation will always be observed in this case: since CP‐OFDM‐based processing at the receiver translates to a mismatched filtering if any other filter has been used at the transmitter, the signal‐to‐noise ratio (SNR) at the receiver cannot be maximized, translating to an effective performance loss. The relative performance loss generally increases with the length of the filter tail. Furthermore, longer filter tails may lead to increased vulnerability to longer channel delay spreads.

To alleviate the problem of the high PAPR of multi‐carrier OFDM signals, a single‐carrier‐like waveform based on OFDM has been introduced as DFT‐spread OFDM (DFT‐s‐OFDM). Here, the modulated data symbols are generated in time domain with a bandwidth covering a sub‐band, and this signal is then DFT‐transformed and shifted to the desired frequency position. Applying the inverse FFT (IFFT) covering the entire transmission bandwidth B and adding the guard interval then creates the single‐carrier‐like OFDM signal. At the receiver, the signal is equalized as in OFDM and then transformed via an inverse DFT (IDFT) to obtain the data symbols. For channel estimation, full preamble (or mid‐amble) signals need to be used now, meaning that a pilot signal fills the entire sub‐band. This way, the simple and efficient OFDM‐based processing can be maintained, while the tight envelope of single‐carrier signals yielding small PAPR can be adopted. Note, however, that deep fades in the sub‐band may again decrease the system performance significantly due to the implicit averaging of the channel quality over the sub‐band – a feature inherent to any single‐carrier transmission. The DFT‐s‐OFDM scheme may also be combined with filtering and windowing, similar to its pure OFDM counterpart. Moreover, an advanced scheme with an inherent windowing operation has been proposed as zero tail (ZT) DFT‐s‐OFDM [7]. Here, a tail of zeros is used at the beginning and the end of the OFDM‐like symbol, whose length can be adjusted to improve the resilience against inter‐symbol interference in delay‐spread channels.

11.3.1 Advanced Features and Design Aspects of Multi‐Carrier Waveforms

In the following, we provide details on advanced features of multi‐carrier waveforms and elaborate on various aspects to be accounted for in the waveform design.

11.3.1.1 Dynamic Numerology Switching

OFDM‐based multi‐carrier waveforms as introduced above provide three degrees of freedom for their overall design, which can be set according to the particular requirements of a desired service or use case: the numerology, consisting of the subcarrier spacing B/N and the length of the guard interval, and the filter used to attain spectral containment, which may be a time domain window or an FIR filter, or a combination of both. The spectral containment of the signal power yielded by the filtering allows partitioning the system bandwidth into separate isolated sub‐bands, wherein the numerology can then be configured individually, thus supporting simultaneously different numerology configurations in the same band. This is referred to as frequency domain numerology multiplexing. Numerologies may further be changed over time following a predefined time grid, then yielding a time domain multiplexing. The time/frequency grid defining potential switching borders between different numerologies covers the total set of resources in time and frequency, and enables structuring it into so‐called tiles, representing subsets of resources dedicated to a particular service with its unique numerology configuration [6]. Among service data, the tiles may also carry control information required for this service, yielding a self‐contained structure.

The tile structure currently being discussed in 3GPP NR is based upon the length of a time slot as defined in Long‐Term Evolution (LTE), constituted of 7 OFDM symbols and a constant overhead of roughly 7% for the guard interval (prefix). The subcarrier spacing (or symbol duration, respectively) is allowed to be scaled by a factor equal to an integer power of 2, i.e., 2n with images. Choosing these factors, while keeping the overhead for the prefix constant, yields a nested structure of the TTI time grid, i.e., consecutively transmitted frames of different TTI size will be aligned in time at regular intervals, determined by the frame with the longest TTI. This feature allows for simple and frequent switching of TTI configurations over time without creating idle times, thus facilitating the desired flexibility in the frame design to respond to different services’ demands. Note that, besides improving latency, as detailed in Section 12.3.2, shorter symbol lengths also enhance the signal quality under high mobility, as inter‐carrier interference is decreased and channel estimation quality is increased thanks to a denser pilot grid in time domain [6]. However, when choosing short symbol durations with a constant overhead of 7% for the prefix, it may become too short in scenarios with large delay spread, giving rise to undesired inter‐symbol interference. In this case, an enhanced prefix is ready to be chosen. If the number of OFDM symbols in the TTI is reduced from 7 to 6, some additional room for the enhanced prefix is gained, yielding an overhead of 25%. This way, we can allow for using a larger prefix within a TTI without violating the TTI time grid, enabling to change the prefix length on a TTI level.

11.3.1.2 Advanced Prefix Design

As the prefix in CP‐OFDM is usually discarded at the receiver, there is high motivation to make better use of this signal overhead, which triggered endeavours on advanced prefix design. One approach is to replace the prefix with a known sequence, leading to UW‐OFDM. This principle is also called known symbol padding (KSP) OFDM in the literature. With the unique word (UW) replacing the prefix, a periodically appearing known sequence is available, which can be used as training signal that comes without any additional cost in signaling overhead. Such training can be used for various purposes, for example, to enhance channel estimation, phase noise tracking, Doppler tracking, synchronization, and to monitor the received signal power ‐ for instance to detect blockage of the link. Furthermore, the UW can be designed to achieve lower PAPR. In [4], it has been shown that by exploiting UW for phase noise estimation, considerable gain can be achieved compared to CP‐OFDM when considering low‐complexity schemes.

When replacing the prefix in CP‐OFDM with a UW, the circular convolution between channel impulse response and the transmitted signal is impaired. Therefore, specific demodulation schemes are needed at the receiver. Three methods have been described in [4], showing that with proper demodulation schemes and moderate complexity increase, no performance loss is observed. Further, full compatibility with CP‐OFDM can be achieved regarding multiple access, multiple‐input multiple‐output (MIMO), and pilot usage. Practical schemes have been proposed in [4], which exploit UW to enhance channel estimation and phase noise tracking.

11.3.1.3 Mitigating Hardware Impairments

One important challenge in the design of the multi‐carrier waveform is the fact that the hardware used for implementing the transceiver functionalities typically exhibits various imperfections. This gets more pronounced with higher carrier frequencies. Therefore, the waveform design and evaluation should take into account these hardware impairments. Two most typical hardware impairments are oscillator phase noise and nonlinear characteristics of the PA. The following paragraphs will provide more details on this.

Phase Noise

Free‐running oscillator and phase‐locked loop (PLL) based oscillators are the most common implementations assumed in the literature [8]. The phase noise of the PLL‐based oscillator consists of three main noise sources: the reference oscillator, the phase‐frequency detector along with the loop filter, and the voltage controlled oscillator (VCO). Each of these noise sources includes both white noise (thermal noise) and colored noise (flicker noise). The detailed modeling of phase noise can be found in Table 4‐2 of [9]. The detrimental effect of phase noise increases as a function of carrier frequency. Phase noise will cause common phase errors (CPEs) and inter‐carrier interference (ICI), resulting in an increased error vector magnitude (EVM) of the desired signal. CPE refers to a common phase rotation of all sub‐carriers, which can be compensated quite easily in frequency domain. The actual phase rotation requiring compensation is estimated with the help of pilot subcarriers. ICI may be modeled as additive noise (not always Gaussian) and is usually hard to be compensated. It requires denser pilots for phase noise and channel tracking, and estimation and compensation can be computationally intensive. The most straightforward method to mitigate the effect of phase noise is the use of a larger subcarrier spacing, though this may increase the vulnerability to frequency‐selective channels if the overhead of the prefix is kept constant, as discussed earlier in Section 11.3.1.1.

Non‐linear Characteristics of the PA

When digitally modulated signals go through a PA having non‐linear characteristics, spectral regrowth appears, which in turn causes adjacent channel interference. The power series model or the polynomial model is widely used in the literature for the modeling of memoryless nonlinear PAs [10], which is given by:

(1)images

where K is the non‐linear order, y(t) is the output signal, x(t) is the input signal, and images is the imagesth complex‐valued polynomial coefficient. The coefficients images can be calculated by using least squares estimation (LSE). More recently, there has been growing interest in modeling nonlinear PAs with memory effects, for instance based on a memory‐polynomial model or Volterra series [4].

In order to have high PA efficiency, it is required that the input signals have low PAPR. One may also employ linearization techniques, e.g., pre‐distortion, to compensate for PA nonlinearity. However, such technique comes with major baseband complexity and may not be effective for large bandwidth signals and/or hybrid beamforming architectures. It remains an open question to which extent such techniques can be effective for large bandwidth signals, as for instance envisioned in the context of mmWave, and how much additional complexity is required.

11.3.1.4 PAPR Reduction Techniques

One of the main drawbacks of OFDM as compared with single‐carrier waveforms is the high PAPR, which requires the PA to operate linearly in a very wide range. As explained in the introduction of Section 11.3, DFT‐s‐OFDM is a means to create a single‐carrier‐like signal based on OFDM, though its performance suffers from deep fades, which may occur in particular if the transmit signal covers a broader bandwidth. Hence, PAPR reduction techniques for conventional OFDM are worthwhile to be explored. It is noteworthy that a low PAPR is not only important in the UL, but also in the DL, in particular at very high frequencies (e.g., mmWave bands) due to the need for low‐cost BSs. Various simple and effective PAPR reduction techniques for OFDM (e.g., amplitude clipping, exponential companding, and constrained clipping) have been proposed in the literature, see [4] for further details and corresponding references.

Figure 11‐1 (top) shows the complementary cumulative distribution function (CCDF) of the PAPR for DFT‐s‐OFDM as well as for OFDM with and without various PAPR reduction schemes. Through applying appropriate PAPR reduction techniques, it is shown that OFDM can achieve a similar PAPR performance like DFT‐s‐OFDM.

Graph of CCDF vs. signal range relative to average power with 5 descending curves with discrete markers for OFDM, OFDM with constrained clipping, OFDM with clipping, OFDM with companding, and DFT-s-OFDM.
Graph of EVM vs. SNR with 5 descending curves for OFDM, OFDM with constrained clipping, OFDM with clipping, OFDM with companding, and DFT-s-OFDM, with 2 ovals enclosing set of curves for low and high power backoff.

Figure 11‐1. CCDF of the PAPR for DFT‐s‐OFDM and OFDM signals with/without PAPR reduction schemes (top) and corresponding EVM performance (bottom).

Figure 11‐1 (bottom) shows the EVM performance for DFT‐s‐OFDM and OFDM signals with and without the different PAPR reduction schemes at different power back‐off settings. Here, the EVM is measured at the receiver, where the signal distortions are arising from the noise, from distortion being introduced by the PAPR reduction techniques, and from the nonlinear characteristics of the PA. With high power back‐off, the signal is less distorted by the nonlinear PA; thus, the EVM performance of DFT‐s‐OFDM and OFDM is almost the same, while OFDM with the PAPR reduction schemes shows degraded EVM performance due to the distortion introduced by those schemes. With low power back‐off, the nonlinear effects of the PA are introducing additional signal distortions, where the severity of the distortion depends on the PAPR of the input signal. As DFT‐s‐OFDM and OFDM with PAPR reduction have lower PAPR than OFDM, OFDM performs the worst among all schemes, while OFDM with PAPR reduction schemes achieves similar EVM performance as compared to DFT‐s‐OFDM. It is assumed here that the low power back‐off is insufficient to provide the required “headroom” to accommodate the OFDM signal, but sufficient to accommodate the OFDM signal with PAPR reduction. Furthermore, it is shown that the degradation of EVM in OFDM with PAPR reduction is more pronounced at high SNRs than for low SNRs, where the additive channel noise is the dominant adverse factor.

11.3.2 Comparison of Waveform Candidates for 5G

Several windowed and filtered multi‐carrier schemes have been investigated in recent years, targeting on finding the most suitable candidates for 5G. These have been evaluated and compared with respect to their capability to address the particular requirements of the future 5G system as well as to their behaviour under given hardware impairments, which get particularly pronounced in the context of higher frequencies, as discussed in the previous section. In this section, a summary of the most important findings from this evaluation is presented. A detailed description of all multi‐carrier schemes as well as further details on their evaluation and comparison can be found in [4][6]. The following multi‐carrier waveform candidates have been in the focus of those investigations:

  • Conventional (non‐filtered): CP‐OFDM; or single‐carrier‐like variant DFT‐s‐OFDM;
  • Subcarrier‐wise filtered: Windowed (W)‐OFDM, pulse‐shaped (P)‐OFDM, UW‐OFDM, flexibly configured (FC)‐OFDM, and OQAM‐FBMC;
  • Sub‐band‐wise filtered: Universal‐filtered (UF)‐OFDM and block‐filtered (BF)‐OFDM.

As detailed at the beginning of Section 11.3, one favorable feature of the novel waveforms is the spectral containment of the signal power, which facilitates easy coexistence of different radio configurations in the same frequency band and allows for asynchronous UL access. To highlight how well the different waveform candidates can realize this feature, two appropriate scenarios have been selected for evaluation and direct comparison [6]:

  • Scenario 1 (asynchronous UL access): Here, 3 UEs are assigned to adjacent sub‐bands, each spanning 48 subcarriers, corresponding to a total of 720 kHz for 15 kHz subcarrier spacing. The UE in the center sub‐band is the one being evaluated. The receiver is synchronous with this UE, while the two UEs in the adjacent sub‐bands are misaligned in their timing with a constant time offset relative to the center UE, going beyond the size of the prefix. A guard band of configurable size is used between the adjacent sub‐bands of different UEs.
  • Scenario 2 (UL synchronous transmission with mixed numerology): Here, 2 UEs are assigned to adjacent sub‐bands of equal size (720 kHz), whereas the UE located aside the evaluated UE uses double the subcarrier spacing (30 kHz) of the evaluated UE (15 kHz). A guard band of configurable size is used between the adjacent sub‐bands of different UEs.

Performance comparisons in terms of the Turbo‐coded block error rate (BLER) versus the effective SNR are shown in Figure 11‐2 (top) for scenario 1 and in Figure 11‐2 (bottom) for scenario 2. The effective SNR reflects the total average power spent per subcarrier signal, including the power contained in the prefix overhead, if applicable. Standard system settings for an LTE system operating at 4 GHz with 10 MHz bandwidth have been applied, if not stated otherwise. In Figure 11‐2 (top), 16‐QAM modulation has been used, and the guard band between the frequency sub‐bands of different users is set to 30 kHz. The adjacent users are misaligned in their timing by a number of samples amounting to 1/8 of the FFT block size. From the figure, it can be observed that all evaluated waveforms attain much better BLER performance than conventional CP‐OFDM. The best performance is attained by the OQAM‐FBMC scheme, which is not fully OFDM‐compatible, though. The sub‐band filtered schemes get closest to this superior performance, while the windowed schemes follow at some distance. It is worth mentioning that with increasing the guard band size, the performance of all modified OFDM schemes converges towards that of OQAM‐FBMC, while the performance of W‐OFDM is kept at some distance.

Graph of performance comparison for waveform candidates for asynchronous uplink access (top) and mixed numerology coexistence (bottom), each with 7 descending curves for CP-OFDM, UF-OFDM, W-OFDM, FC-OFDM, etc.

Figure 11‐2. Performance comparison of the waveform candidates for asynchronous UL access (scenario 1, top) and mixed numerology coexistence (scenario 2, bottom).

In Figure 11‐2 (bottom), 64‐QAM modulation has been used, and the guard band between the frequency sub‐bands of different users is set to 60 kHz. We observe that OQAM‐FBMC again attains the best performance, followed at some distance by the modified OFDM schemes, which do not differ too much from each other, but all significantly outperform conventional CP‐OFDM. Again, W‐OFDM performance is kept at some distance. For further details on these waveform comparisons, the interested reader is referred to [6].

Effects of Hardware Impairments in the Context of mmWave Transmission

Non‐ideal properties of the hardware implementing mmWave transceiver components cause impairments, as addressed in Section 11.3.1.3. Further impairments include in‐phase and quadrature phase (I/Q) imbalance, sampling jitter and sampling frequency offset, carrier frequency offset, etc. These imperfections are present in every hardware implementation, but their impact in the mmWave frequency range is larger than in sub‐6 GHz bands, because these hardware components are operated closer to the overall physical limits and therefore closer to the limit of their capabilities. In particular, the PA has lower efficiency at mmWave frequencies, so that increased power consumption for a given transmit power target is expected. Therefore, it is important to have low‐PAPR waveforms, as stressed earlier in Section 11.3.1.4.

Based on those mmWave‐related challenges, a number of KPIs have been selected for the evaluation of the waveform candidates, including: spectral efficiency, PAPR, phase noise robustness, robustness to frequency/time selective channels, MIMO compatibility, time localization, out‐of‐band emissions (with and without PA), complexity and flexibility. A summary of prominent results is presented here, while detailed evaluation results can be found in [4].

Figure 11‐3 shows the power spectral density (PSD) of different waveforms with and without hardware impairments. Without any hardware impairments (top figure), it is shown that indeed very low out‐of‐band (OOB) emissions can be achieved with FBMC, W/P‐OFDM and UF‐OFDM due to the filtering/windowing operations, as compared to CP‐OFDM and DFT‐s‐OFDM. When phase noise is included (bottom figure), the sharp spectrum roll‐off provided by FBMC‐OQAM, W/P‐OFDM, and UF‐OFDM is significantly reduced, but is still much lower than that of CP‐OFDM and DFT‐s‐OFDM. When a nonlinear PA is further added, it is observed that the sharp spectrum roll‐off promised by these waveforms is unlikely to be achieved, due to the fact that PA non‐linearity leads to spectral regrowth. However, for low power transmission, i.e., with a relatively high power back‐off, OOB advantages over OFDM can still be maintained.

Graphs of PSD of different waveforms without any hardware impairments (top) and with phase noise (bottom), each with 5 curves for CP–OFDM, DFT–s–OFDM, W/P–OFDM, FBMC, and UF–OFDM.

Figure 11‐3. PSD of different waveforms without any hardware impairments (top) and with phase noise (bottom).

Figure 11‐4 shows the EVM performance of different waveforms under different hardware impairments. It is observed that there is no significant difference in the EVM performance among the various candidate waveforms. It is generally known that multi‐carrier waveforms are sensitive to phase noise. However, with phase noise compensation and sufficiently large subcarrier spacing, the multi‐carrier waveforms can be made robust against phase noise effects. Besides, scattered pilot‐based channel estimation was used, which also compensates for the CPE caused by phase noise.

Graph of EVM vs. SNR with descending curves for CP-OFDM, DFT-s-OFDM, W/P-OFDM, FBMC, and UF-OFDM. Arrows depict the sets of curves with no HW impairment, with phase noise and nonlinear PA, and with phase noise.

Figure 11‐4. EVM performance of different waveforms with hardware impairments.

The waveform comparisons presented before have revealed that the waveforms extended by filtering or windowing provide significant gains compared to conventional CP‐OFDM in scenarios reflecting novel applications envisaged for 5G. Looking at their performance under hardware impairments, it is observed that the studied waveforms have similar EVM performance as conventional CP‐OFDM. The new waveforms exhibit improved OOB performance as compared to CP‐OFDM, but the improvement gets smaller when hardware impairments, such as phase noise and nonlinear PAs, are taken into account. For nonlinear PAs with high power transmission, i.e. small power back‐off, the OOB advantage finally vanishes, and similar OOB emissions are observed for all waveforms.

11.3.3 Co‐existence Aspects

The 5G waveform should be capable to coexist with the CP‐OFDM waveform, which is motivated by the following two reasons: First, during the early deployment of 5G systems, the existing 4G bands will not be re‐farmed for 5G usage immediately. However, to allow for a gradual and effective penetration of the 5G system, some of the 4G bands, especially under‐used UL carriers, could be shared with the 5G RAT. This kind of sharing could be semi‐static or dynamic. Thus, with 4G and 5G RATs sharing the same band, the respective waveforms used in 4G and 5G, respectively, need to co‐exist. Second, 5G is envisioned to support various kinds of services beyond conventional (e)MBB traffic, as outlined in Section 2.2. At the moment of writing this section, 3GPP NR has decided to adopt a CP‐OFDM based waveform for eMBB services [3], while other waveforms are not precluded for other services, such as mMTC or V2X. This suggests that even within the 5G system, possible other waveforms need to coexist with CP‐OFDM. For facilitating this coexistence, two options can be considered:

  1. The co‐existing 5G waveform should be designed as orthogonal (or quasi‐orthogonal) as possible with respect to the 4G waveform to effectively minimize the mutual interference. Naturally, this will limit the degrees of freedom in the overall waveform design. Some possible solutions are: The 5G waveform can be based on subcarrier‐filtered waveforms on top of CP‐OFDM, with the window length being limited to the length of the prefix, or, alternatively, the 5G waveform can be based on sub‐band filtering on top of CP‐OFDM, where the filter length has similar restrictions.
  2. Another option is to release the requirement of the 5G waveform to be fully orthogonal to CP‐OFDM. In this case, the inter‐system interference needs to be controlled by applying a frequency guard band, combined with a power back‐off at the edge of the sub‐band used by any 5G service. This will allow for more ambitious 5G waveform designs. The inter‐sub‐band interference from the “4G sub‐band” to the “5G sub‐band” can be handled by the 5G receiver thanks to spectral confinement techniques, whereas the interference from 5G to 4G is mitigated by proper guard band dimensioning and by using a power back‐off at the edge of the sub‐band used by 5G.

Another aspect constraining 4G‐5G co‐existence resides in the basic frame design of LTE. The Physical Downlink Control CHannel (PDCCH) occupies one up to three successive OFDM symbols across the entire band. Furthermore, LTE applies cell‐specific reference symbols (CRS), which are scattered across the bandwidth and which are not allowed to be muted. To care for this, the 5G signals would have to be muted at the respective positions in the time‐frequency grid. The introduction of mini‐slots in 5G NR supports to solve this issue. In the UL, the respective frame design decisions in 4G are less restrictive, as both the control channel and the reference symbol placements are frequency‐localized.

11.3.4 General Framework for Multi‐Carrier Waveform Generation

Multi‐waveform harmonization was not critical for the design of LTE, because the main target use case has been MBB, traditionally well‐served by the use of CP‐OFDM. However, in 5G, the use cases as well as the respective requirements are much more diverse, motivating the use of different waveform alternatives with different features, as already discussed in previous sections. In particular, one of the major motivations for waveform harmonization is to enable the support of different waveforms for different services with minimized implementation effort. This objective can be attained by a modular structure based on the reuse of hardware components.

In [11], a general framework based on the mathematical tool known as Gabor systems [5] was introduced, which allows to represent different multi‐carrier waveforms under the same system model by selecting the appropriate prototype filter, subcarrier spacing and symbol spacing in time. In fact, the system model is useful to represent conventional CP‐OFDM, W‐OFDM, P‐OFDM and ZT‐DFTs‐OFDM. Furthermore, the framework is also valid to represent waveforms of the FBMC family, such as FBMC‐QAM and FBMC‐OQAM. As a result, this general framework properly facilitates a harmonized hardware implementation capable to generate multiple waveforms.

Harmonized Implementation Concept for Multiple Waveforms

The block diagram of a harmonized transmitter capable to implement the generic multi‐carrier waveform following the general framework is presented in Figure 11‐5. The diagram corresponds to an implementation based on poly‐phase filtering, carried out in time domain through a poly‐phase network (PPN) [12]. As further elaborated next, by selectively enabling or disabling particular blocks, the harmonized implementation is able to generate each of the mentioned waveform variants.

Block diagram of a harmonized transmitter with arrows from S/P to QAM constellation mapping, to DFT spreading, to subcarrier mapping, to multicarrier modulator, to 4 boxes for OQAM preprocessing, leading to channel.

Figure 11‐5. Harmonized transmitter for multi‐carrier waveform generation.

Note that the blocks necessary to generate the CP‐OFDM signal are shown in white, whereas the extra blocks required for the generation of any of the other waveforms are highlighted in grey. The special blocks included in the harmonized implementation, which require specific configuration for some waveforms, are detailed next:

  • DFT spreading: This block is intended to perform the spreading operations necessary for the generation of ZT‐DFT‐s‐OFDM;
  • OQAM pre‐processing: This set of blocks contains the necessary preparative multiplexing steps required for FBMC‐OQAM, that is, complex‐to‐real number conversion of QAM complex symbols, up‐sampling, and time staggering;
  • PPN: The task of this block is to perform the convolution of the discrete signals with a filter implemented through a PPN.

The harmonized block diagram illustrates the usefulness of the proposed implementation to provide flexible adaptation to a particular communication scenario, i.e. to allow for a dynamic waveform selection, and, at the same time, to reduce implementation costs. Regarding the OFDM variants, all of them will leave aside the OQAM pre‐processing and will include the prefix addition, except for ZT‐DFT‐s‐OFDM, which leaves also the prefix aside. Only ZT‐DFT‐s‐OFDM makes use of the DFT spreading block, though. With respect to the PPN block, its inclusion will actually depend on the specific variant: Plain CP‐OFDM will not require this block, whereas W‐OFDM and P‐OFDM will need it for windowing. Concerning the FBMC transmitters, they must enable all the blocks in Figure 11‐5 except those for involving the prefix and DFT spreading. The operations in charge of OQAM generation are only necessary for the transmission of FBMC‐OQAM. Further implementation aspects and complexity evaluations of other waveforms and of the harmonized transceiver are covered in detail in Section 16.3.3, where the typical savings provided by the harmonized transmitter compared to the independent implementation of all constituting waveforms are shown to be in the range between 60–75%.

11.4 Coding Approaches and HARQ

11.4.1 Coding Requirements

An unprecedented variety of new applications and services are foreseen to be introduced in the future 5G communications systems, as detailed in Section 2.2. This results in challenges and constraints for the envisioned usage scenarios, such as very high user data rates for eMBB services, stringent reliability and latency constraints for URLLC, or the transmission of short packet messages with sporadic traffic for mMTC. Therefore, a special emphasis has to be placed on the design of FEC solutions able to efficiently support the underlying constraints. In this regard, three main KPIs provided in 3GPP NR [13] can be clearly identified as of high importance for FEC choice and design:

  • For eMBB, the target for peak data rate should be 20 Gbps for DL and 10 Gbps for UL;
  • For URLLC, the target for user plane latency should be 0.5 ms for UL, and 0.5 ms for DL;
  • For URLLC, the target for reliability should be 10−5 of packet error rate (PER) with keeping the time constraint of 1 ms. This reliability performance shall be supported together with user experienced data rate on the order of 300 Mbps.

Unfortunately, the FEC coding and modulation components of LTE and LTE‐Advanced (LTE‐A) are not optimal in this respect, as they were not designed to meet such requirements. Actually, the following weak points have been identified [14] for the Turbo codes (TCs) employed in LTE:

A known issue related to TCs resides in their poor performance at low error rates when transmitting data with coding rates higher than 1/3. This is due to the so‐called error floor, which can be observed when a TC is punctured with the rate matching mechanism. A detrimental resulting effect is the frequent resort to HARQ retransmissions. Consequently, the LTE FEC code cannot simultaneously meet the reliability and latency constraints of URLLC usage services. Moreover, the error floor issue of TCs is also not compatible with the requested increased user data rate for eMBB services, since high coding rates are then required.

Using convolutional component codes, conventional TCs have not been originally designed for encoding short blocks. So, the LTE/LTE‐A FEC code does not provide capacity‐approaching performance for the transmission of short data packets. In particular, it is called for using tail bits for trellis termination. On one hand, this results in a non‐negligible bandwidth efficiency reduction for short blocks. On the other hand, this type of trellis termination introduces low‐weight truncated codewords and does not ensure the same protection for all data bits, since tail bits are not encoded twice (i.e., Turbo encoded) as the rest of the data. Therefore, the LTE TCs need to be improved to be able to efficiently cope with the sporadic traffic of short messages, as typical for mMTC services.

The target peak rate of 20 Gbps for eMBB represents a major challenge for any family of FEC codes. This is particularly true when taking into account the required flexibility, on the order of what is specified in LTE in terms of supported packet sizes and coding rates. Regarding TCs, due to the recursive nature of the underlying convolutional codes, their decoding structure is serial by nature, and they are known to have difficulties in achieving extremely high data rates at a reasonable implementation cost.

Accordingly, coding solutions able to answer favorably to the identified constraints of the different usage scenarios of 5G have been identified and evaluated in the FEC selection process of 3GPP.

11.4.2 Coding Candidates

Defined around 10 years ago, the LTE TC is somewhat dated, delivering performance far from what can be achieved from best FEC code designs nowadays. For example, an enhanced TC family was designed to target the requirements of the different scenarios of 5G [15][16]. On the other hand, taking the envisioned peak data rates into account, LDPC codes [17] may represent a better choice as a coding solution. Furthermore, Polar codes (PCs) [18] concatenated with an outer error detecting code, such as a cyclic redundancy check (CRC) code, have recently emerged as strong coding candidates for short block sizes. In addition to these capacity‐approaching coding solutions, convolutional codes and block codes, such as Reed Muller or Bose–Chaudhuri–Hocquenghem codes (BCH) can be of interest for the particular case of extremely short packet sizes, for instance less than 40 bits.

In the rest of this section, a special focus is put on describing the latest advances regarding the three main families of codes represented by TCs, LDPC codes, and concatenated PCs.

11.4.2.1 Enhanced TCs

The enhanced TC (eTC) family [15][16] was designed to address the drawbacks of the existing TC solution in LTE, when targeting the requirements of the different scenarios of 5G. The encoder structure is a parallel concatenation [19] of two 8‐state recursive systematic convolutional encoders, as shown in Figure 11‐6. Each component code is a modified version of the LTE TC component code with an additional parity symbol W, resulting in a TC with a mother coding rate equal to images. The generator polynomials for C1 and C2 are images and images), respectively.

Turbo encoder with periodic puncturing with arrows from data to a box for interleaver and to 2 dashed boxes for Code C1 and Code 2, leading to 5 sets of vertical bar divided into 8 equal sections labeled X, Y1, W1, Y2, and W2.

Figure 11‐6. Turbo encoder with periodic puncturing.

Tail‐biting, also called circular encoding, is introduced. It ensures that, when encoding a message of length K, the initial and the final states are identical for each component encoder C1 and C2. Tail‐biting is the best‐known termination method for TCs, since it avoids the transmission of tail bits. Thus, there is no rate loss and the spectral efficiency of the transmission is not reduced. Moreover, with tail‐biting, all the information bits are protected in the same way by the TC, and the circular property prevents the occurrence of low‐weight truncated codewords. Therefore, tail‐biting termination helps to lower the error floor.

Rate adaptation is performed via the application of a periodic puncturing pattern of length Q. The information block size K is assumed to be a multiple of Q. Typical values for Q are 4, 8, 16 or 32, but others values are possible, provided that Q is a divisor of K. The selection of the puncturing patterns is performed on the basis of a joint analysis of the Hamming distance spectrum of the punctured component convolutional code and of the mutual information exchange between the two component encoders [15]. Incremental puncturing patterns have been designed, enabling inherent HARQ support via incremental redundancy.

The interleaver has an important impact on the performance of TCs in the low error rate region. For implementation‐friendly designs, algebraic interleaving is adopted in most standards. Amongst the different existing models, it was shown in [20] that the almost regular permutation encompasses most of the popular algebraic interleavers, including the quadratic permutation polynomial (QPP) model of the LTE TC. Therefore, almost regular permutation interleavers can achieve at least the same minimum Hamming distances as QPP interleavers and were adopted for the proposed eTC. The corresponding interleaving function is given by the following equation:

(2)images

where i denotes the address of the data symbol after interleaving, and Π(i) represents its corresponding address before interleaving. P is a positive integer relatively prime to K. S is a vector containing Q integer values. The values of parameters P and images, are chosen to support the different block sizes and coding rates. Their selection procedure follows the steps described in [16] to generate a so‐called protograph‐based interleaver design.

The eTCs are decoded using an iterative process that exchanges probabilistic extrinsic information between two component convolutional decoders, each applying a variant of the Bahl, Cocke, Jelinek and Raviv (BCJR) algorithm in the logarithmic domain, commonly named scaled Max‐Log MAP (maximum a posteriori) algorithm [21]. After several decoding iterations, for instance 6 to 8, the final binary decision is provided.

11.4.2.2 LDPC Codes

LDPC codes, first proposed in [17], were re‐discovered in the mid‐90s by McKay and Neal [22]. These are block codes with sparse parity check matrices (PCM). The sparsity property is enforced to reduce correlation in decoding. Bipartite Tanner graphs are used to define the connections between the variable nodes associated to code bits and the check nodes associated to the parity‐check equations. Thanks to their inherent parallel structure, LDPC codes present advantages in terms of achieved decoding throughput, making them strong candidates to comply with the peak data rate requirements of 5G.

A specific family of LDPC codes named multi‐edge (ME)‐LDPC [23] codes was proposed by several parties at 3GPP as a coding solution for eMBB data in 5G. The ME‐LDPC family can be seen as a generalization of the irregular LDPC ensemble framework with larger degrees of freedom for code design and flexibility. This family is characterized by the presence of several edge types in the Tanner graph, as opposed to the standard irregular LDPC code ensemble. The construction consists of a base Tanner graph with several edge types and includes punctured nodes, called state nodes, for enhanced performance. Systematic ME‐LDPC codes are obtained by the introduction of degree‐two parity variable nodes via an accumulate chain.

A base ME Tanner graph is designed for each desired coding rate. Afterwards, the final PCM is obtained by lifting the base graph to the desired codeword size. Targeting hardware‐friendly designs, used lifting is generally a cyclic copy obtained through circulant matrices. This makes the ME‐LDPC code a quasi‐cyclic code, therefore greatly simplifying the encoding operation as well as the code description.

A Tanner base graph example of a ME‐LDPC code with size 24 before lifting is shown in Figure 11‐7. The circles correspond to the variable nodes of the base graph, and the squares are the parity‐check nodes. The T‐shaped dongles on the top of each variable node represent the transmitted bits. The variable node with no dongle represents a punctured state node, intentionally introduced to improve performance. The degree‐two parity node accumulate chain is shown on the right with dashed edges. For a codeword size N, the lifting size Z satisfies images. To obtain a cyclic lifting, each edge has to be associated with an integer from the cyclic group modulo Z.

Image described by caption and surrounding text.

Figure 11‐7. Tanner graph example of an ME‐LDPC code with a base graph size of 24 nodes.

The design of the base Tanner graph for each target coding rate has an important impact on the overall performance of the code. Parameters such as the girth, i.e., the minimum cycle length in the Tanner graph, also play an important role in resulting performance. ME‐LDPC codes are generally designed using the density evolution technique [24].

HARQ support via incremental redundancy (IR) can be implemented by designing the highest‐rate code and then extending this to lower rates with the addition of extra parity bits. However, due to the incremental redundancy constraint, the base graphs of the higher‐rate codes have to be sub‐graphs of the base graphs of the lower‐rate codes. This generally results in a low‐rate base graph different from the one obtained directly by density evolution, with poorer performance.

The decoding of ME‐LDPC codes requires iterative processing and exchange of extrinsic probabilistic information between variable nodes and check nodes, based on a low‐complexity variant of the belief propagation principle in the logarithmic domain, called scaled or offset min‐sum algorithm [25]. After several iterations, for instance 10 to 25 layered iterations, the final binary decision is provided. Since the lift size Z is generally larger than the number of columns in the base graph, a decoding hardware capable of processing Z liftings in one clock cycle would allow a high decoding throughput, which is particularly appealing for 5G. Note that the complexity of LDPC decoders is also discussed in further detail in Section 16.3.4.

11.4.2.3 Polar Codes

A PC can be viewed as a recursive concatenation of a base short block code designed to transform the encountered transmission channel into a set of virtual channels with variable levels of reliability. The first description introducing the idea of channel polarization and the framework of PC design was explicitly provided in [18].

The capacity C of a binary input symmetric discrete memoryless transmission channel T satisfies images. The problem of designing an error correcting code for the two extreme values is simple to solve. The target of polarization is to transform N channel uses of T into N virtual channels with capacity 0 or 1, as shown in Figure 11‐8. Polarization is performed via the application of XOR operations as described in [18]. It can be achieved for quasi‐infinite packet sizes. However, for finite‐length packets, a non‐uniform reliability distribution is obtained for the different virtual channels.

Channel polarization with arrows from 4 boxes under multiple instances of transmission channel T to an oval labeled Sum of capacities which is linked by arrows to 4 boxes under polarized transmission channels Ti.

Figure 11‐8. Channel polarization.

The simplest application of this principle advocates the design of a base matrix respecting the polarization constraints that is recursively used until polarization is achieved over the codeword length N.

The right lower dashed rectangle in Figure 11‐9 represents the encoder of a size‐4 Polar codeword. The upper dashed rectangle represents the code extension to a codeword size of 8 bits. Rate compatibility is achieved by transmitting a subset of bits ui enjoying the highest reliability levels after polarization. Bits that are not transmitted, called frozen bits, correspond to the least reliable channels after polarization.

Parity check polar encoder including classical CRC polar encoder with box for mapping step and arrows from boxes for precoding step to CRC generation step, to classical polar encoding step sub-code word size of 8 bits.

Figure 11‐9. Parity‐check Polar encoder including classical CRC Polar encoder.

The corresponding code shows capacity‐achieving performance for quasi‐infinite packet sizes with a successive interference decoder [18]. However, for most packet sizes used in practical applications, PCs decoded with this type of decoder show poor performance, far from the best achieved by TC and LDPC codes.

At a later stage, a list‐based decoder was proposed in [26]. It classifies codewords in increasing reliability order. Then, a concatenation with an outer error detection code, typically based on a CRC, was introduced to eliminate the least reliable codewords from the list [27]. The resulting concatenated structure is able to bridge the existing performance gap with TC and LDPC codes. However, there still exist practical drawbacks in terms of implementation efficiency and parallelization.

During the standardization process of 5G, a novel concatenated structure, called parity‐check PCs, was proposed [3]. This structure divides the codeword into independent sub‐codewords (potentially of different sizes) linked solely by a precoding step. This latter consists of an XOR or a repetition operation that generates precoded frozen bits (u6, u10 and u11), as shown in Figure 11‐9. The precoding step is followed by the generation of cyclic parity‐check bits, separately on the precoded frozen bits and on the information bits, used for error detection. Two families of parity‐check bits are generated from precoded frozen bits: self‐parity‐check bits computed from bits of the same sub‐codeword (such as, u6 and u10), and cross‐parity‐check bits computed from bits of adjacent sub‐codewords (such as, u11). Finally, classical Polar encoding is performed.

For each sub‐codeword, the corresponding decoder unrolls and sorts its list of candidate codewords in increasing reliability order. Then, adjacent sub‐codewords exchange information on cross‐parity‐check bits. These bits can now be decoded as frozen bits by each individual list decoder, pruning the list of candidates of the corresponding sub‐codeword. The resulting decoder, called chained‐list decoder enjoys lower latency and can achieve higher throughputs since sub‐codewords can be decoded in parallel, making it particularly appealing for the peak data rates of 5G. Moreover, a well‐constructed PC with a proper parity‐check function over some well‐chosen frozen bits increases its minimum Hamming distance, thereby improving the error correction performance. In fact, appending CRC bits as in [27] can be seen as a special case of the parity‐check PC.

HARQ support is achieved through shortening via incremental frozen bits selection. Shortening is applied on the sub‐codewords, such that the resulting total size is strictly larger than the size of the codeword for the lowest supported coding rate.

11.4.3 General Summary and Comparison

Strong and weak points of each of the three different families of codes considered for 5G are identified in this section. They are based on observed tendencies regarding performance, implementation complexity, flexibility and maturity, and hence characterizing these families.

It is extremely difficult to draw conclusions from sole performance comparisons, since they should be performed at equal complexity. Moreover, computational complexity is far from being an accurate representative of final hardware complexity and power efficiency. It is indeed widely acknowledged that memory resources and memory accesses have a large impact on chip area and power consumption, respectively. Therefore, fair comparisons require the availability of hardware designs for all three coding families, and comparable assumptions related to quantization, number of decoding iterations, achieved throughput, technology, etc. Nevertheless, since performance results comparing these families have shown that the observed gaps for large block sizes (i.e., larger than images bits and code rates ranging from images to images) were within the limits (images 0.3 dB) related to the assumptions made for reduced complexity hardware designs, it can be concluded that all three families of codes offer a satisfactory level of performance for these cases. A similar conclusion was reached during the selection process of 3GPP for 5G [28].

LDPC codes are considered as widely implemented in commercial hardware supporting several Gbps throughput with attractive area and energy efficiency, but with a flexibility support which is far below the requirements for the eMBB services of 5G. Actually, the area efficiency of LDPC decoders reduces when decreasing the coding rate, and their complexity rises when the flexibility is increased. Moreover, despite the ability of achieving large parallel decoding degrees, some of this parallelism may not be exploited for all code block lengths and code rates, resulting in a penalizing impact on energy and area efficiency. In addition, for the shortest block sizes of some 5G scenarios, penalizing short cycles cannot be avoided. This leads to poor performance of this family of codes for short block sizes. On another note, as previously mentioned, IR‐HARQ support by ME‐LDPC codes entails performance penalties for low rate ME‐LDPC codes, compared to the best‐known low‐rate ME‐LDPC codes and to TCs. This is due to the design constraints forcing high‐rate base graphs to be embedded into low‐rate base graphs. To conclude, hardware implementation with attractive area and energy efficiency is considered challenging when simultaneously targeting the peak data rate and flexibility requirements of eMBB services of 5G.

PCs are considered implementable, although there are currently no commercial implementations available, and, in relation to the eMBB service of 5G, there are some concerns linked to the maturity and the availability of decoding hardware. In addition, most existing work in the literature is related to successive interference cancellation decoders and not to list‐based decoders that are required to enable the excellent performance of this family of codes. The implementation complexity of list‐based decoding increases with the list size, especially for large block sizes. Moreover, the area efficiency reduces for shorter block lengths and lower coding rates. A list‐4 decoder is largely agreed as implementable for all codeword sizes. However, in practice, most existing simulations results considered list‐8 decoders that could be argued implementable only for short block sizes. Besides, IR‐HARQ support for PCs entails performance penalties because the best positions of frozen bits are not necessarily incremental when lowering the coding rate. To conclude, decoding hardware can now achieve acceptable latency, performance and flexibility for PCs, but there are still some concerns about the feasible area efficiency and energy efficiency, and about the maturity of the technology.

TCs are widely implemented in commercial hardware, supporting IR‐HARQ and the flexibility constraint required for 5G, but not at the high data rates or low latencies needed for the eMBB usage scenarios. In fact, TCs meet the flexibility requirements of 5G with the most attractive area and energy efficiency except at very high throughputs. With TCs, for a given code structure, the area and energy efficiency is constant when varying the coding rate, via puncturing and HARQ. Another advantage resides in the fact that the decoding complexity increases linearly with the information block size for a given mother code rate.

Due to the peak data rate requirements of 5G, in addition to a stand‐alone TC solution, a combination of TC (for flexibility) and LDPC (for high throughputs) codes was proposed [29]. It considers designing a Turbo decoder capable of decoding both LTE and, at least, lower information block sizes (images bits) of the eMBB scenario of 5G. For the high throughput case of 5G, a LDPC code can be designed with a limited flexibility or equivalently for a few combinations of code rates (images) and block sizes (images). This proposal has the benefit of combining the advantages of each family (TC and LDPC) of codes without bearing the burden of their drawbacks. Indeed, it was shown in [29] that this combination of codes could answer favorably all the requirements of 5G, especially in terms of complexity.

As a general conclusion, we can state that each family of codes presents its challenges when trying to satisfy simultaneously all the requirements of 5G. Therefore, it is quite difficult to clearly identify an all‐around favourite without performing a joint thorough analysis of performance, complexity and latency taking into account real implementations. Due to timing constraints, the framework for such a comparison was not agreed in 3GPP, and individual technical contributions were used as a basis for the selection process. Finally, regardless of potential technical drawbacks, a compromise was found that led to the adoption of LDPC codes for eMBB data channels and PCs for control channels [3].

The choice of the coding solution for mMTC and possibly URLLC scenarios remains an open issue. While simulation conditions for these two scenarios are quite different from those of eMBB, they are partly related to the comparisons performed for short block sizes. In fact, block sizes lower than 1024 bits were considered for coding rates from R = 2/3 down to images. Error rates of 10−4 to 10−5 of PER are targeted.

Taking into account the performance results provided in [30] comparing PCs and eTCs, we can clearly identify these two families of codes as strong candidates for URLLC and mMTC from the performance point of view, with a slight edge for eTCs showing improved performance for these targeted low rates [31]. LDPC codes cumulate two main drawbacks: the first lies in the large performance penalty (more than 1.0 dB for short block sizes and low rates) in some cases, the second is the fact that decoding complexity increases by orders of magnitude when decreasing the coding rate, compared to the two other families of codes.

From the complexity point of view, TCs present an advantage for such low rates as images since the decoding complexity of this family of codes scales linearly with the information block size K and not with the codeword size N. This is not the case for both Polar and LDPC decoders. To identify the best technical choice, in‐depth complexity comparisons, going beyond simple computational complexity, would have to be carried out at comparable performance. The framework for such comparisons should be clearly set and agreed between the parties proposing these coding solutions.

Finally, the selection process for 5G coding solutions has launched a wave of new proposals for the three families of codes. Current studies are focusing on improving the decoding efficiency of Turbo decoders when targeting high throughput scenarios. A large number of studies are also focusing on the design and implementation efficiency of PCs and related decoders. Therefore, significant improvements are being made, and a thorough investigation taking into consideration performance and hardware complexity between these two strong candidates represented by TCs and PCs should be performed before a final selection.

11.4.4 Hybrid Automatic Repeat reQuest (HARQ)

HARQ is a tool being applied in many communication systems to both increase the reliability of a single transmission and the overall spectral efficiency. The cost to pay is a higher device complexity (e.g., a buffer is needed), processing effort, and latency. With a system applying HARQ, any data transmission is to be acknowledged by the respective recipient, i.e., in the DL by the device, and in the UL by the BS. Based on a given decision criterion (e.g., a CRC check), the integrity of a received packet is checked. If this check is not passed, a respective feedback message “negative acknowledged” (NACK) is sent to request a retransmission, or otherwise the successful reception is acknowledged (ACK). In the former case, the original message or another redundancy version is subsequently transmitted. The receiver combines both the original message and the retransmission(s) to eventually detect the original message. So, HARQ is a tool to increase the reliability by making use of time and (depending on the variant) frequency diversity, energy, and (again depending on the variant) coding gain. Obviously, there is a multitude of design choices possible for implementing this mechanism. In the following we introduce these, highlight the design choices of 4G, and present potential improvements for 5G NR.

The core functionality of HARQ is to enable the system to potentially retransmit a given packet if the reception has not been successful so far. For the actual implementation various aspects have to be decided for:

How to Check if a Transmission has been Successfully Received?

The receiver needs to be able to check the integrity of each received packet. The most reliable and complex variant is to base this decision on the CRC. The CRC check relies on the received bits after FEC and hard decision. Less complex but also less reliable is to base this decision on the statistical characteristics of the soft‐symbols before the demapper or of the soft‐bits before or after the decoder. The potential costs to pay are reduced reliabilities (if the check wrongly indicates a successful reception) or reduced system throughput (if the check wrongly indicates a non‐successful reception). The potential merits are less processing burden and reduced processing time, which can contribute to reducing the overall latency.

How to Design the Feedback Carrying the Outcome of this Check (Single‐bit vs. Multi‐bit Feedback)?

Data transmission both in 4G and in 5G NR is based on so‐called transport blocks. A transport block comprises all bits being transmitted within a given transmission opportunity. For efficiency reasons, a transport block may be segmented into code blocks, each being individually encoded. The variant with the least overhead is to spend a single‐bit feedback referring to the whole transport block. If only parts of the transport block are corrupted (e.g., due to localized interference), resources are wasted, as the complete transport block has to be unnecessarily retransmitted. With allowing single code blocks to be (non‐)acknowledged, this can be avoided. The cost to pay is the increased overhead (i.e., one bit per code block instead of a single bit for the complete transport block).

The Timing between the (re‐)Transmissions and the Related Feedback (Synchronous vs. Asynchronous HARQ) and the Overall Number of (re‐)Transmissions Possible

HARQ can be implemented both in a synchronous and in an asynchronous manner. The former has a fixed timing between the (re‐)transmissions and the related ACK/NACK feedbacks. The 4G UL applies this variant with 4 ms, or 4 TTIs, being the time span between (re‐)transmissions in the UL and ACK/NACK feedback in the DL, and allows for up to 4 retransmissions. In contrast to this, 4G applies asynchronous HARQ for DL transmissions. In this case, the BS has more degrees of freedom related to setting up the timing, as the retransmissions are treated alike scheduled transmissions. The latter, however, implies more overhead, as the BS has to communicate its decisions. The advantage of this is a higher flexibility. As outlined several times, 5G NR is foreseen to require a very high degree of flexibility to be able to serve the various use cases, and, for instance, meet the stringent latency requirements for URLLC services. Consequently, non‐elastic mechanisms, such as synchronous HARQ, should be avoided in 5G, as already agreed in 3GPP [3].

How to Configure the Retransmission both w.r.t. Resources to be used and the Transmission Parameters (Adaptive vs. Non‐adaptive HARQ)?

Again two variants are possible. With non‐adaptive HARQ, the retransmission requires to use the very same resources (naturally, in a respectively later sub‐frame) and configuration (e.g., selected modulation and coding scheme). As above, the merit of this variant is a lower overhead, since the configuration is implicitly known at the cost of fewer degrees of freedoms. In the 4G UL, non‐adaptive HARQ is applied, while the 4G DL applies adaptive HARQ. 5G NR is foreseen to use in both directions the adaptive variant to avoid troublesome restrictions.

In a nutshell, compared to 4G, 5G NR requires to move the implementation of HARQ towards a higher flexibility at the cost of higher processing effort and overhead. In this section, we have treated all available options in a rather abstract manner. For further details, the interested reader is for instance referred to [6].

11.5 Antenna Design, Analog, Digital and Hybrid Beamforming

As highlighted in the previous sections, there is a need to more densely reuse the spatial domain in wireless communications. This needs to be done both at each network node and by densifying the network. More spatial efficiency at the node level can be obtained by using many antennas at the transmitter and/or receiver. This approach is in general referred to as MIMO in the literature. Depending on the channel properties and scenario, MIMO systems can be configured for spatial (transmit and or receive) diversity, beamforming, multiplexing, and spatial multiple access. The maximum diversity gain that can be achieved in a MIMO system equals the number of independent paths between antenna pairs, and the maximum number of spatial streams that can be supported equals the minimum of the number of transmit and receive antenna elements in the system. However, note that full diversity and spatial multiplexing cannot be obtained simultaneously [32].

In the diversity mode, sufficiently separated antenna elements are used to make the link more robust (i.e., lower the outage) by transmitting and/or combining different redundancy versions of the signal by taking advantage of more‐or‐less independently fading propagation paths between the transmit and receive antenna elements. Receive diversity is sometimes called single‐input‐multiple‐output (SIMO), and transmit diversity is sometimes called multiple‐input‐single‐output (MISO) in the literature, when the transmitter/receiver has only one transmit/receive chain, respectively.

In the beamforming mode, the complex baseband weights of the transmitted and/or the received signals at each antenna element are chosen to adjust and to shape the transmit and/or receive beams in order to increase the signal‐to‐interference‐plus‐noise ratio (SINR) of the link, and to avoid harmful interference on other links. Transmit beamforming can be done either in a user‐agnostic manner or in a per‐user channel‐aware manner. The latter case is normally denoted as precoding in the literature (sometimes also the per‐user power allocation is included in the notion of precoding), and requires knowledge of the users' channels at the transmitter.

In spatial multiplexing, multiple signals are sent as independent streams to obtain throughput gains whenever there is sufficient multipath propagation in the environment, and provided that channel state information (CSI) at the transmitter side can be obtained. The multi‐user case of spatial multiplexing is called spatial multiple access, in which the streams can be aimed for different users.

As highlighted above, the more transmit and receive antennas can be used, the higher the potential for spatial diversity, beamforming, spatial multiplexing and spatial multiple access systems is, provided the spatial channels are sufficiently uncorrelated. In particular, with spatial multiplexing and multiple access, the capacity increases and the required transmit power decreases with the number of antennas. For this reason, intensive research has been carried out on so‐called massive or large MIMO [33], addressing both theoretical and practical challenges. From the theoretical side, asymptotic results show that in rich multipath fading environments so‐called channel hardening [34] appears, essentially eliminating the fading, and with suitable precoding orthogonal spatial channels for the users can be obtained. However, to practically implement large MIMO systems, there are challenges related to, in particular, channel state acquisition, hardware impairments and signal processing complexity. In FDD systems, CSI needs to be obtained by reference or pilot symbols in UL and DL, whereas, with transceiver chains in TDD that are calibrated to compensate for the different Rx/Tx hardware (HW) properties, it is possible to take advantage of the reciprocity of the propagation channel, and thus probing signals need to be sent in only one direction. For this reason, TDD is seen as the most practical possibility to implement large MIMO systems. A drawback with any half duplexing system, however, is the so‐called half‐duplex loss, since the transceivers take turn in transmitting and receiving. This loss would be avoided if full duplex (FD) at the same frequency would be possible to implement, cf. Section 16.2.4. With FD, there is the potential to double the spectral efficiency and increase the resource allocation flexibility. Perhaps even more important for some 5G use cases, FD has the potential to reduce the access delay by a factor of two in the system, since all time slots can be made available for transmission. Still, reference symbol design for large MIMO systems is a challenge in order to obtain sufficient CSI knowledge at the transmitter side with a reasonable overhead. In particular, intensive research has been carried out on the so‐called pilot contamination problem [35], since orthogonal reference symbols would impose too much overhead on the system.

More spatial efficiency at the network level can be obtained by a dense deployment of infrastructure nodes. This is especially important at mmWave frequencies, due to challenging propagation conditions, such as a higher path loss, penetration losses, and shadowing, as detailed in Chapter 4. In addition, the hardware capabilities are worse with weaker output power and noisier oscillators, causing phase noise to be a design issue, as detailed in Section 11.3.1.3. On the other hand, the small wavelength at higher frequencies can be exploited to pack a large number of antennas in a small area, allowing for large beamforming gains at reasonable form factor, which allow to overcome the weaker output power. At the receiver, sufficiently large antenna area could be achieved creating a larger effective aperture, thus enabling large enough antenna array gain, and also enabling receive beamforming to be implemented for additional directivity gains. It should be noted that mmWave channels are typically wideband and spatially confined causing sparse multipath, and, hence, the massive MIMO focus for mmWave frequencies is rather on beamforming, while spatial multiplexing is less relevant for these frequencies, unless the antennas are spatially distributed.

In the following, an overview of the multi‐antenna support in 3GPP NR is given, followed by a more detailed discussion on the so‐called hybrid‐beamforming architecture, which is a promising approach for large antenna arrays in particular at mmWave carrier frequencies. Then, a short discussion is devoted to an alternative MIMO architecture that has received increased attention to address the complexity, energy consumption, and cost: digital beamforming with finite precision digital to analog converters (DACs). Finally, although currently not supported by NR, the potential for so‐called massive multiple‐input massive multiple‐output (MMIMMO) is shown to be promising to boost the spectral efficiency in specific scenarios. It might be feasible from a complexity point of view using a novel spatial multiplexing scheme.

11.5.1 Multi‐Antenna Scheme Overview of 3GPP NR

In the 3GPP LTE standard, there has been support of various MIMO schemes from the start, i.e., since Release 8. In Release 13, the eNodeB can be configured in ten different so‐called transmission modes (TMs), implementing transmit diversity, beamforming and spatial multiplexing. The TM can be selected per UE based on the channel properties, UE and eNodeB capabilities. The CSI feedback can contain the so‐called rank indicator (RI) describing the rank of the MIMO channel, i.e., the number of sufficiently spatially separable channels, the precoding matrix indicator (PMI) describing the preferred precoder from a set of pre‐defined codebooks, and a channel quality indicator (CQI) used for adaptive transmission and multi‐user scheduling. Up to four spatial layers are supported, but only two codewords can be transmitted simultaneously to a given UE. Due to the potential of dense spatial reuse, in 3GPP NR, a beam‐oriented approach is adopted, and there is inherent support of distributed cooperative transmission and reception schemes, as well as more advanced MIMO schemes. Below, the main novelties of NR are summarized.

11.5.1.1 Beam Management

In 3GPP NR [1], beam management is defined as a set of layer‐1 (L1) and layer‐2 (L2)3 procedures to acquire and maintain a set of transmit‐receive points (TRPs) and/or UE beams that can be used for DL and UL transmission and reception. Specifically, at least the following aspects are addressed by beam management procedures:

  • Beam determination: For TRP(s) or UEs to select their own Tx/Rx beam(s);
  • Beam measurement: For TRP(s) or UEs to measure characteristics of received beamformed signals;
  • Beam reporting: For UEs to report information of beamformed signal(s) based on beam measurements;
  • Beam sweeping: Operation of covering a spatial area, with beams transmitted and/or received during a time interval in a predetermined way.

According to [1], the following DL L1/L2 beam management procedures are supported within one or multiple TRPs:

  • DL beam alignment: Utilized to enable UE measurements on different TRP Tx beams to support selection of TRP Tx beams and UE Rx beam(s);
  • DL beam refinement: Utilized to enable UE measurement on different TRP Tx beams to possibly change inter‐ or intra‐TRP Tx beam(s);
  • UE receive beam refinement: Utilized to enable UE measurements on the same TRP Tx beam to change the UE Rx beam in the case that a UE uses beamforming.

At least network‐triggered aperiodic beam reporting is supported under the above three beam management related operations. UE measurements based on reference signals (RSs) for beam management (at least CSI‐RS) are composed of K beams, and UEs report measurement results for N selected Tx beams, where N is not necessarily a fixed number. Note that the procedure based on RS for mobility purposes, such as synchronization signal blocks, is not precluded. Reporting information at least includes measurement quantities for N beam(s) and information indicating N DL Tx beam(s), if N < K.

NR also supports the following beam reporting considering L groups, where L ≱ 1 and each group refers to an Rx beam set or a UE antenna group. For each group l, the UE reports at least the following information:

  • Information indicating group at least for some cases;
  • Measurement quantities for N∙l beam (s);
  • Information indicating N∙l DL Tx beam(s), when applicable.

NR supports that the UE can trigger a mechanism to recover from beam failure. A beam failure event occurs when the quality of beam pair link(s) of an associated control channel falls low enough (i.e., involving comparison with a threshold and time‐out of an associated timer). The mechanism to recover from beam failure is triggered when beam failure occurs.

11.5.1.2 MIMO Schemes

For NR, the number of codewords per Physical Downlink Shared Channel (PDSCH) assignment per UE is 1 codeword for 1 to 4‐layer transmission and 2 codewords for 5 to 8‐layer transmission.

DL demodulation reference signal (DMRS) based spatial multiplexing is supported. At least 8 orthogonal DL DMRS ports are supported for single user MIMO (SU‐MIMO), and a maximum of 12 orthogonal DL DMRS ports are supported for multi‐user MIMO (MU‐MIMO). At least the following DMRS based DL MIMO transmissions are supported for data in NR:

  • Scheme 1: Closed‐loop transmission where data and DMRS are transmitted with the same precoding matrix;
  • Scheme 2: Open loop and semi‐open loop transmissions, where data and DMRS may or may not be restricted to be transmitted with the same precoding matrix.

For the DL data, at least a precoding resource block group (PRG) size for physical resource block (PRB) bundling equal to a specified value is supported. A configurable PRG size is also supported for data DMRS. DL transmission scheme(s) achieving diversity gain at least for some control information transmission are supported.

11.5.1.3 CSI Measurement and Reporting

For NR, DL CSI measurements with up to 32 antenna ports are supported. At least for CSI acquisition, NR supports CSI‐RS and SRS. NR further supports aperiodic, semi‐persistent, and periodic CSI reporting. The periodic CSI reporting can be configured by a higher layer, above PHY. Higher‐layer configuration includes at least reporting periodicity and timing offset. By semi‐persistent CSI reporting, configuration of CSI reporting can be activated or de‐activated.

CSI reporting with two types of spatial information feedback is supported.

Type I CSI feedback is the normal CSI feedback scheme. As in 3GPP LTE, it consists of codebook based PMI feedback with normal spatial resolution. The PMI codebook has at least two stages, where the first stage comprises of beam groups and vectors. Type I feedback supports at least the following (DL) CSI reporting parameters:

  • Resource selection indicator (i.e., reference signal sequence or beam);
  • RI;
  • PMI;
  • Channel quality feedback.

There is support for multi‐panel scenarios by having a co‐phasing factor across antenna panels.

Type II CSI feedback is an enhanced CSI feedback scheme, enabling explicit feedback and/or codebook‐based feedback with higher spatial resolution. At least one scheme must be supported from the following Category 1, 2, and/or 3 for Type II CSI:

  • Category 1: Precoder feedback based on a linear combination of dual‐stage codebooks. Specifically, stage one consists of a set of L orthogonal beams taken from a set of 2‐dimensional DFT beams, and the beam selection is wideband. The L beams with common stage one precoder are combined in stage two, which supports subband reporting of phase quantization of beam combining coefficients;
  • Category 2: Covariance matrix feedback. The feedback of the channel covariance matrix is long‐term and wideband. A quantized/compressed version of the covariance matrix is reported by the UE. Specifically, the quantization/compression is based on a set of M orthogonal basis vectors, where M is the number of supported simultaneous beam pair links, and the maximum value of M may depend at least on UE capability. The reporting can include indicators of the M basis vectors along with a set of coefficients;
  • Category 3: Hybrid CSI feedback. A type II Category 1 or 2 CSI codebooks can be used in conjunction with 3GPP LTE Class‐B CSI feedback using beamformed CSI‐RS to reduce the RS overhead and improve coverage. The LTE Class B CSI feedback can be based on either Type I or Type II CSI codebook.

11.5.2 Hybrid Beamforming

Due to the large number of antennas in the transmit and receive arrays required to enable mmWave communication, equipping each antenna with a separate radio frequency (RF) transceiver chain along with a high‐resolution converter, as done with smaller arrays at lower frequencies, would result in a high complexity, cost, and power consumption. This is mainly due to the implementation of RF components at mmWave frequencies, as well as the expected large bandwidths, which impose the requirement on the DACs at the transmitter and analog to digital converters (ADCs) at the receiver to operate at a high sampling rate. Thus, equipping one converter per antenna in a large antenna array translates inevitably into a high power consumption and cost. For this reason, analog beamforming with a single RF chain has been adopted in early standards, such as in IEEE 802.11ad. However, since this architecture offers limited signal processing capability, a hybrid beamforming architecture [36] using a reduced number of RF chains, and subsequently converters, has attracted substantial attention as a promising solution in particular for mmWave scenarios, and is depicted in Figure 11‐10.

Hybrid beamforming with arrows from Ns streams to boxes labeled digital baseband precoder to Analog RF precoder (left) another from Analog RF combiner to Digital baseband combiner to Ns streams (right).

Figure 11‐10. Hybrid beamforming with analogue RF beamforming.

With hybrid beamforming, the number of RF chains NRF is smaller than the number of antennas in the array, e.g., NtxRF≰ Ntx at the transmitter. By splitting the beamforming operation between the analog RF domain and the digital baseband, this architecture provides a reduction of complexity and power consumption [36], at the expense, however, of reduced degrees of freedom for the baseband digital processing, and a consequently reduced possible number of streams Ns≰ NtxRF. Compared to a fully digital system, the hybrid beamforming architecture poses different challenges for the CSI acquisition and beamforming design due to the constraints on the analog processing and the need of directional transmission at mmWaves.

For example, the analog processing is frequency flat, which implies that the analog beamforming matrix is fixed for all subcarriers in a multi‐carrier system, whereas the digital beamformer can be adapted for each subcarrier. For the wideband hybrid beamforming design, however, the fact that the spatial characteristics of the channel are frequency‐invariant can be exploited. Similarly, for hybrid beamforming in a multi‐user scenario, the design of the analog beamforming needs to consider that it is common for all users. Furthermore, the analog processing can be implemented via a network of phase shifters, RF switches or with a lens antenna array, and can be implemented at different stages including RF, intermediate frequency and baseband. In case of phase shifters, the entries of the analog beamformer are constrained to have unit modulus. An open question is, however, how the limited number of RF chains should be connected. A partially connected architecture has recently been proposed [37], where the output of each RF chain is connected only to a subset of the transmit antennas. This approach reduces the required number of phase shifters as well as the losses, thereby facilitating the implementation of hybrid beamforming at the expense of reduced design flexibility. If each RF chain (or set of RF chains) is connected to a distinct set of antennas, the architecture is based on subarrays, where each subarray is basically connected to its own transceiver. The partially connected architecture is applicable at both the transmitter and receiver side, and the performance can be rather close to a fully connected hybrid beamforming architecture. For further discussions about such a hybrid beamforming architecture, see also Section 2.3 in [38].

11.5.3 Digital Beamforming with Finite DACs

Hybrid beamforming is currently the most promising approach to tackle the power consumption and complexity bottleneck mmWave transceivers are facing. An alternative approach to address these aspects that is currently attracting increased attention is digital beamforming with low resolution DACs [39], as also discussed in detail in Section 16.2.3.2. Reducing the precision of the converters enables to reduce the power consumption, which scales roughly exponentially with the number of resolution bits. This enables to have a large antenna array with many active elements at a reduced power consumption and cost. Despite the increased signal processing capabilities compared to analog beamforming, the nonlinearity introduced by the quantization leads to limited capacity at high SNR, and imposes certain challenges on the channel estimation and data detection [39]. Still, investigations show promising performance even with 1‐bit DACs in multi‐user MIMO DLs, as shown in Section 2.4 in [38].

11.5.4 Massive Multiple‐Input Massive Multiple‐Output

The hybrid beamforming architecture has good potential to be used for access in particular in mmWave bands, but it can also be used for wireless relaying and backhauling, see for instance Section 7.4. However, in rather static high‐throughput wireless backhaul scenarios, massive and symmetric MIMO might be feasible. In such a case, arrays with hundreds of antenna elements at both the transmitter and the receiver sides can be used to multiplex hundreds of data streams in the spatial domain, as illustrated in Figure 11‐11. In theory, such MMIMMO could deliver spectral efficiencies of hundreds of bps/Hz, and therefore, provide multi‐Gbps throughput, which are essential for the backhaul of future wireless communication systems.

Examples of deployment scenarios of MMIMMO systems with 5 boxes for communicating buildings, communicating lamp posts, communicating laptops, side-to-side communicating cars, and communicating laptop-screen.

Figure 11‐11. Practical examples of deployment scenarios of MMIMMO systems, see Section 3.4 in [9].

So far, MMIMMO has been regarded as infeasible due to the complexity; thus, it has yet not been in focus for 3GPP NR. However, in [40] it has been recently shown that hundreds of data streams can be spatially multiplexed through a short range and line‐of‐sight MMIMMO propagation channel thanks to a new low‐complexity spatial multiplexing scheme called block DFT based spatial multiplexing with maximum ratio transmission (B‐DFT‐SM‐MRT). The block‐based approach is beneficial to control that the spatial subchannels have similar properties, and maximum ratio transmission (MRT) is used to mitigate the effect of scattering and to deal with cases where the uniform linear arrays are not perfectly parallel. Its performance in real and existing environments was assessed using accurate ray‐tracing tools and antenna models. In the best simulated scenario, depicted in Figure 11‐12, 1.6 kbps/Hz of spectral efficiency is attained, corresponding to 80% of singular value decomposition (SVD) performance, with a transmitter and a receiver that are 200 and 10,000 times less complex, respectively.

Illustration of Helsinki airport simulated deployment scenario with a solid arrow labeled MMIMMO link connecting two antenna arrays represented by two dashed lines.

Figure 11‐12. Helsinki airport simulated deployment scenario.

11.6 PHY/MAC Design for Multi‐Service Support

As outlined in the introduction, 5G NR is to obey to various design criteria for making the system ready for the foreseen ecosystem of devices and services benefitting from having wireless access. This requires means to configure single connections according to the respective needs of the connected device or service. The overall system should be able to do so concurrently for any given combination of devices and services requesting access at a given point in time, enabling efficient multi‐service support. Furthermore, its basic functionalities, such as access protocols and reference symbols, need to be able to scale with reasonable effort and resource consumption, following the respective network conditions, e.g., the number of devices requesting access, or the number of antenna ports or beams that are available or active. Beyond Release 15, 5G should be able to add new functionalities and use cases with low efforts and without requiring major redesign of the initial versions, commonly referred to as the notion of forward compatibility. Finally, its design should allow for tight interworking (or even coexistence) with other access technologies. Naturally, while obeying to all those design criteria, all design choices have to keep track of resource, energy and cost efficiency, and allow the system to be robust against, e.g., harsh channel conditions.

While any component of the communication system needs to care for the points given above, the design of the radio frames and related functionalities is pivotal for the overall communication system in general and the AI in particular in achieving the aforementioned targets. Before heading to specific parts of the frame design and the status of the discussions in 3GPP, some fundamental aspects are outlined.

11.6.1 Fundamental Frame Design Considerations

5G requires to support a reasonable set of options with respect to supported bandwidth to cover all relevant deployment scenarios and spectral bands being available, while keeping the overall number reasonably low. For each of these options, the respective sampling rate, number of subcarriers covering the bandwidth and the supported subcarrier spacing should be integer multiples or fractions of a given baseline, to keep system complexity and testing efforts at a reasonable level. Optimally, this baseline is aligned with 4G (i.e., 15 kHz) to both allow for efficient multi‐RAT implementations and to ease interworking and multi‐connectivity among 4G and 5G at low and high bands. [3] is summarizing the status of the discussions in 3GPP following similar lines as given above: For below 6 GHz, the smallest bandwidth to be supported is 5 or 10 MHz, while in regions above 6 GHz and up to 52.6 GHz, the smallest bandwidth is 40 or 80 MHz. To avoid excessively large FFTs, the subcarrier scales with increasing carrier frequency. The baseline is 15 kHz, and further options are 15kHz*2n (with n being an integer).

For schemes dealing with concurrent transmissions of multiple cells (e.g., inter‐cell interference coordination), it is advantageous to have their transmissions to be time‐aligned on symbol level. For energy reasons, the amount of always‐on signal components should be minimized, and the actual repetition rate (e.g., for synchronization signals in DL) should be configurable. The on‐demand principle should be applied as far as possible to increase energy efficiency especially in low‐load scenarios. As an example, system information blocks (SIBs) shall be transmitted on‐demand only, while master information blocks (MIBs) would always be transmitted.

Special care has to be taken for the new requirements being brought up by the new use cases. Hence, the overall frame design requires to obey to the following rules:

  • Low‐end devices, as typically envisioned for mMTC services, require to be able to detect the DL signal in a sub‐sampled manner for energy efficiency reasons. This calls for applying narrow‐band implementations in general (e.g., DL control channel) and eventually the introduction of complementary narrow‐band signals (e.g., DL synchronization signals);
  • To achieve very low latencies for URLLC services, very short TTIs and very quick scheduling processes have to be enabled. To avoid inefficient implementations, these options need to be introduced in a complimentary manner;
  • Efficient support of various antenna configurations by implementing scalable RS designs is needed to increase the spatial reuse of spectral resources and for improving coverage, e.g., for eMBB services.

The frame requires various control channels (both for carrying the relevant global system configurations and for maintaining/configuring the various device connections and transmissions) and data channels (potentially different formats for different use cases). Additionally, one needs to account for DL synchronization symbols, UL sounding, UL random access opportunities, and RSs for various means, such as beam selection and channel estimation. Both TDD and FDD configurations have to be accounted for, and different areas of the spectrum require at least partially varying treatments. Finally, to allow for energy‐efficient devices, pipelined processing should be enabled. Figure 11‐13 depicts the basic sub‐frame configurations being foreseen taking those points into account.

Sub-frame design variant displaying double-headed arrow labeled subframe TSF with boxes labeled DL control and data – downlink only subframe, UL control and data–uplink only subframe, UL CTRL, DL CTRL, etc.

Figure 11‐13. Sub‐frame design variants. GP: Guard Period.

In the figure, options (c), (d), and (e) depict bidirectional sub‐frames containing both DL and UL transmissions. They are the basic building blocks to enable efficient TDD, while (a) and (b) are exclusively carrying either DL or UL transmissions. Control (including DMRS that are not depicted here) is separated from the data block for enabling pipelined processing at the device and is placed at the borders, i.e., frontloaded in the case of the DL, and at the end of the sub‐frame for the UL.

Having laid out the fundamental design paradigms to follow, the next sections provide some further details to the single building blocks of the signaling frames of 5G NR. First, the required frame elements for enabling initial access are introduced, followed by a discussion of the design of the control channels and the different data channels variants that are foreseen. The section is then completed by covering further access variants beyond unicast, namely, device‐to‐device (D2D) and broadcast/multicast.

11.6.2 Initial Access

This section is closely related to Section 13.2. Before being able to transmit or receive data, a device needs to perform various steps when powered up. It has to:

  1. identify close‐by cells or transmission points (cell discovery);
  2. align its transmission parameters (time and frequency) to the reference;
  3. read the system configuration; and
  4. perform the registration process, i.e., perform the random access procedure and message exchange for setting up the device configuration, e.g., related to authentication and encryption.

The BS has to regularly transmit synchronization signals for the device to be able to perform steps 1 and 2. Similarly as with 4G, 5G DL frames will regularly carry primary synchronization signals (PSS) and secondary synchronization signals (SSS). PSS and SSS are spanning 12 physical resource blocks (PRBs), each spanning 12 subcarriers, within a single multi‐carrier symbol carrying selected sequences4 being used to identify the respective transmission node. One of the key targets for the design is to enhance one‐shot detection by improving the respective sequence characteristics (e.g., by applying a longer sequence and by avoiding time/frequency ambiguities). With achieving this target, the periodicity of the synchronization signals can be reduced without significantly increasing latency. By correlating the received signals with the known sequences, the device is able to perform DL synchronization (both on frame and symbol level) and to identify the transmission point. Once this has been done, the device is able to locate and decode the Physical Broadcast CHannel (PBCH). This channel carries the relevant system configuration (e.g., location and frequency of the Physical Random Access CHannel, PRACH) for the device to be able to continue the access procedure, and it spans 24 PRBs in frequency direction over two successive symbols in time. At this point, the device is aware of the available network and how to access it. The next step is concerned with setting up the physical (involving, e.g., power control) and logical connection (involving, e.g., authentication and encryption). This includes various measurements and message exchanges. In Chapter 13, more details are given.

The frequency of transmitting the set of signals given above (i.e., PSS, SSS, PBCH in DL, PRACH in UL) has an impact on the control plane latency (i.e., the time it takes to register to the network) and the signaling overhead (i.e., the more often those signals are transmitted, the more spectral resources are required).

It is foreseen to add high‐capacity transmission points operating at mmWave bands, both in a standalone and a non‐standalone manner. For the latter, a close‐by transmission point from the coverage layer (<6GHz) acts as supporting node for the steps given above, e.g., for all actions related to the messaging. The cell discovery and the alignment of its transmission parameters though, must still be done directly with the mmWave transmission points for both deployment cases. As discussed in Section 11.5, mmWave requires directional and beam‐based transmission to overcome the large free‐space loss. For cell discovery, the broadcast of synchronization and control signals via beam‐based transmissions is a challenge. In [4], different beam sweeping strategies, including time division, frequency division, code division, and spatial division, are compared systematically with respect to cell discovery latency and signaling overhead. It was found out that time division achieves the lowest latency at the price of high signaling overhead, while spatial division allows much lower signaling overhead and provides flexibility to achieve a trade‐off between latency and signaling overhead. An auxiliary transceiver based scheme has been proposed to further reduce the signaling overhead and avoid interruption of data transmission (due to hybrid transceiver constraints) during the broadcast of synchronization and control signals.

11.6.3 Control Channel Design

For controlling the system in general and the transmission of data in particular, various physical control message exchanges between the network and the connected devices are required. Some messages carry system‐wide settings and are of relevance for all devices, while some messages characterize the connection setup between a specific device (or a sub‐group of devices) and the BS and thus are of less relevance for other devices not being part of this sub‐group. The former needs to follow similar means as, e.g., applied in 4G for the PBCH design. As any device needs to be aware of the system configuration, the respective transmission needs to be of broadcast type and thus needs to be configured having the weakest possible link in mind to achieve a given minimal reliability. Details related to the PBCH design have already been given in the prior section.

5G will be a packet‐switched network, as 3G and 4G have been. Hence, one needs to employ structures for controlling the flow of packets from and to different sources and recipients5. In DL, for example, the Physical Downlink Control CHannel (PDCCH) is carrying the instructions (e.g., scheduling grants, resource configurations, and HARQ feedback) from the BS to the connected devices. The first releases of 4G have physically structured the PDCCH in a broadcast manner: The control messages, also known as DL control information elements (DCIs), are multiplexed into a single structure and mapped to the beginning of each TTI, meaning that data and control are multiplexed in a time division multiple access (TDMA) manner. In Release 11, 3GPP has introduced an enhanced PDCCH (EPDCCH) allowing to dedicate single PRBs for the transmission of control messages in an frequency domain multiple access (FDMA) manner.

Discussions in 3GPP indicate that NR will follow a different structure [3]. Instead of separating control and the respective data transmission, so‐called in‐resource messaging is implemented, also referred to as “staying in the box”. The main reasons for doing so are:

  • “No race to the bottom” (i.e., no need to configure the resources for the weakest possible link);
  • Data and control can share reference symbols;
  • Control can make use of rank 1 precoding if respective CSI is available;
  • Blanking of frequency resources is improved (e.g., for inter‐cell interference coordination);
  • More degrees of freedom are available for designing the DCIs.

For the actual realisation of the control channels, 3GPP has defined so‐called resource element groups (REGs) as the basic control channel building block. These comprise 12 consecutive resource elements (REs) within a single OFDM symbol. Moreover, like in 4G, so‐called control channel entities (CCEs), consisting of a number of REGs, are defined as the smallest unit of a scheduled PDCCH transmission. Both localized and distributed variants are foreseen, the latter being able to exploit frequency diversity. As such, each NR‐PDCCH is transmitted by using one or several CCEs depending on the respective channel quality. The number of CCEs employed is called aggregation level (AL). Currently, similar to LTE, several ALs, namely 1, 2, 4, 8 or even 16 and 32 are considered. The higher the required coding gain is, the higher the aggregation level has to be chosen.

The transmission of physical control messages is typically required to be very robust to avoid packet loss. The control channel coverage in 5G NR is intended to be at least as good as in 4G. The main building block used to match the transmission to the respective link quality is the already mentioned aggregation level. The higher the aggregation level, the more copies of the control message are transmitted and thus the overall transmission becomes more robust. In addition, if the BS is aware of the channel state, rank 1 precoding may be employed to improve the link quality for the transmission of device specific DCIs. Otherwise, one needs to make use of diversity mechanisms. Two variants, namely space‐frequency block codes (SFBC) and per‐RE precoder cycling, have been extensively discussed as potential options. Finally, frequency hopping may be applied for the sake of frequency diversity. As coding scheme, PCs are selected, as covered in Section 11.4.2.3.

To enable channel estimation for coherent demodulation, some REs in the REG are dedicated for carrying DMRS. It is envisioned that REGs with configurable DMRS patterns can provide additional trade‐offs between channel estimation performance and achieved coding rate for the control channel transmission.

11.6.4 Data Channel Design

While the previous section has covered control plane related aspects, the focus shall now be on the relevant PHY/MAC design choices for the user plane or data channels. The support of a multitude of different use cases is much more emphasized in 5G NR than in earlier generations. To allow the system to be efficient, various means have to be provided for devices to transmit and receive the data.

Most likely, the bulk of the connections accessing the system will still exhibit the following characteristics:

  • The overall amount of data to be transmitted is far bigger than a single transmission opportunity is able to accommodate (i.e., a single transmission request requires several transmission opportunities);
  • The energy consumption related to the transmission and reception of the data is small compared to other parts of the device (e.g., display);
  • The system is able to regularly collect rather concrete context information, such as channel quality.

The listed aspects are mostly, but not exclusively, connected to (e)MBB services. Beside this, 5G NR will include new types of services introducing a new set of relevant aspects either related to the needs of the respective service or the respective device(s):

  • In the area of mMTC, some use cases imply the need for transmitting tiny amounts of data (requiring only few transmission opportunities) in a rather sporadic and unpredictable manner. The related devices are typically constrained with respect to cost and energy;
  • In the area of URLLC, the allowed transmission latency is required to be very short and the reception of the packet has to be extremely reliable. Both sporadic and regular/periodic transmissions are possible.

Obviously, one needs to make use of various tailored access mechanisms for being able to meet those partly contradicting targets. While these are presented later in this section, initially an overview is given on the most recent progress in 3GPP on the fundamental scheduling concepts and how they are being optimized for multi‐numerology operation, followed by a summary of the open issues.

PHY layer processing is not aware of abstract concepts like service‐categorization, e.g., URLLC or eMBB. Instead, the differentiation is done via selecting different DCI depending on the current transmission. While initially the research and standards community was heading towards reserving specific types of PHY resources exclusively for some specific services (e.g., so‐called mini‐slots for URLLC traffic), the prevailing trend in 3GPP now goes towards enhancements of PHY control signaling to indicate the length of a data assignment making the overall system more flexible.

In LTE, if a UE is not in discontinuous reception (DRX) mode, it needs to continuously monitor PDCCH meaning every 1 ms. A potential game‐changer on this topic introduced by 3GPP for 5G NR is to allow for more differentiated options. 3GPP has, for instance, agreed that the minimum PDCCH monitoring period may go down to a single symbol, and the consecutive data duration is to be indicated. All that matters is the PDCCH monitoring period itself, especially since data can be scheduled over any number of successive symbols indicated by a respective DCI.

The scheduling delay represents a significant portion of the overall delay occurring in the radio network. In fact, reducing UL scheduling delay has been singled out in 3GPP as a means for achieving the latency required for URLLC applications and for future‐proofing the system design. Ideally, URLLC transmissions should get an UL grant right away, i.e., for the very first PUSCH transmission, allocating the service resources with appropriate size and physical layer numerology according to underlying QoS requirements of the data buffered in the UE.

To alleviate the above issues, there are in principle three possible approaches worth exploring:

  • Contention‐based/grant‐free UL data transmission, i.e. without prior scheduling request;
  • Enhancements to scheduling requests and buffer status reporting (BSR) mechanisms;
  • Semi‐persistent scheduling (SPS).

As will be shown, the above are not mutually exclusive. In fact, support for all three is possible and will enable the reduction of the UL data scheduling latency, although optimizing for all three approaches simultaneously within a single system design may not be possible, meaning that compromises will be needed.

11.6.4.1 Contention‐based/Grant‐free Access

3GPP has agreed to support grant‐free, SPS‐like, PUSCH transmissions [3]. More specifically, an UL transmission scheme without a grant shall be supported.

Contention‐based access in previous generations of cellular systems has been exclusively used as the basis for the initial connection of a device to the network, i.e., where a device would switch from RRC Idle state to RRC Connected, as detailed in Section 13.3. With the introduction of mMTC and URRLC services in 5G, the potential use of this type of access has been extended; namely, by the possibility of a device connecting to the network with minimal signaling overhead and latency. This is especially useful for sporadic small packet transmissions.

Contention‐based access protocols are of three types, as depicted in Figure 11‐14: (a) multi‐stage, (b) two‐stage, and (c) one‐stage. These can be interpreted very differently, and each can contain several variants.

Image described by caption.

Figure 11‐14. High‐level description of the three access protocols types considered: (a) Multi‐stage access protocol with an access, connection establishment and data phase; (b) Two‐stages access protocol with access and data phases; and (c) One‐stage access with combined access and data phase.

The one‐stage access protocol (c) means that both the access notification and data delivery are done in a single transaction, i.e., using one or several consecutive packets in a single transmission. A two‐stage access protocol (b) allows the UE to separate the access notification stage from its data delivery stage, e.g., by allowing for an intermediate feedback message. A multi‐stage access protocol (a), for which the current LTE connection establishment protocol is a prime example, is composed of at least three phases, namely, the access, connection establishment phase (including authentication and security), and finally the data phase.

In [42], several proposals have been put forward that realize two‐stage and one‐stage accesses. In particular, the signaling associated with the connection establishment (i.e., mostly the establishment of mutual authentication and security) is assumed to be reused from a previous session, where the multi‐stage access protocol has been carried out. This reuse of the connection context is achieved through the RRC Extant state introduced in [42]; a new additional state complementing RRC Idle and RRC Connected, as detailed further in Section 13.3. 3GPP has recently applied a similar state named RRC Inactive [43].

In both the one‐stage and the two‐stage access schemes, contention may occur only in the initial step of the protocol. Designing this type of access is a joint effort between the PHY design (to improve detection and to cope with collisions via advanced signaling processing) and the higher layers (to keep the number of arrivals to the network within the capabilities of the PHY).

A tailored design of the access scheme for mMTC and URLLC services is needed due to the short packet length and the need for supporting a massive number of simultaneously active devices attempting to access the network.

The main PHY functionality requirements for this can be summarized as follows:

  • DL synchronization at the device;
  • Signature/preamble generation at the device;
  • Signature/preamble detection, e.g., via correlation (or compressive sensing) at the BS;
  • Repetition and retransmission scheme, eventually based on frequency hopping;
  • (Non‐orthogonal) multiple access, e.g., through spatial separation;
  • Autonomous link adaptation and power control.

The PHY preamble design uses false‐alarm probability and missed detection as key metrics. The LTE PRACH is based on Zadoff‐Chu sequences [44]. 5G has the following options to adjust the original design of LTE to its needs:

  • A higher number of cyclic shifts in at least a part of the PRACH allocations, though reducing the distance between the preambles;
  • Simultaneous usage of different root sequences. However, cyclic shifts generated from different root sequences are not orthogonal; hence, residual cross‐correlations may increase false alarm rates.
  • Usage of m‐sequences instead of Zadoff‐Chu sequences for higher PRACH capacity, though at the price of a higher PAPR;
  • Orthogonal CDMA codes providing much higher capacity, though being more sensitive to weak synchronization;
  • Reshaping the arrival distribution of access attempts. Ideally, the access attempts are equally distributed over time, which may be attained by dividing the PRACH opportunities into N slots, and each UE accesses the slot number derived from a modulo N operation of its ID. This solution is limited to delay tolerant use cases and devices with relaxed energy consumption requirement.

Obviously, adopting one or more of the above listed options alleviates the support of higher loads in massive access scenarios compared to the LTE preamble design, as long as the respective downsides can be tolerated.

11.6.4.2 Enhancements to the Design of Scheduling Requests and Buffer State Reporting

In 4G, a SR is used to inform the network that the respective UE has data to transmit, but it does not have enough resources available to transmit the BSR itself, which carries information on buffer status. The buffer status is crucial for the BS scheduler and is typically unknown until the BSR is received. Most SR enhancements proposed recently focus on reducing the delay between initial SR and the first UL data transmission.

Assume a device requiring eMBB data to be transmitted right after having terminated an URLLC session. Without having differentiated SRs, the BS would not be aware of the altered connection requirements, for instance related to latency and reliability, and would thus not be able set up the subsequent data connection as required. This leads either to a connection not meeting the requirements (e.g., if these are more stringent than those of the prior transmission), or the other way round, i.e., a connection overshooting the actual requirements, resulting in inefficient resource usage. Hence, to avoid this, the use of a different SR settings or configurations are required. With allowing for differentiated SRs, the BS is able to allocate the appropriate amount of UL resources, perform link adaptation matched to the use case, and initiate the respective access method. In essence, SR and BSR functionalities are somewhat merged to reduce the latency. The basic idea is to include more details about the BSR into the SR, where some proposals target only to indicate whether the BSR is long or short, while others go further and include even more details, such as, the type of the service the data is originating from.

11.6.4.3 Semi‐persistent Scheduling and Grant‐free Scheduling

SPS is used in LTE as a scheduling technique with minimal overhead being suitable for traffic with periodic characteristics. SPS is configured (but not activated) via RRC messages with signaling its periodicity. The SPS is then activated via PDCCH‐messaging, enabling to re‐tune parameters on a faster basis and with less control signaling overhead. More specifically, a UE being configured for SPS‐like transmissions waits for a DCI scrambled in relation to a special kind of cell radio network temporary identifier (SPS‐C‐RNTI), and once received, the UE starts transmitting data with a pre‐configured periodicity, as set via RRC signaling.

As with any dedicated resource scheduling scheme, SPS has some inherent inefficiencies. Furthermore, the empty transmissions as needed for implicit release are raising further concerns. Some enhancements to SPS to support 5G are centred around the following items:

  • Configuration/activation split: Which parameters are configured via RRC signalling, and which are signalled via PDCCH?
  • Sharing of SPS resources: Should it be allowed, and what sort of collision resolution would need to be introduced?
  • SPS periodicity: Is support for extreme (i.e., sub‐ms range) values needed and justified, in the light of potential usage for URLLC?

As discussed in Section 11.6.4.1, 3GPP is additionally working on standardizing grant‐free/contention‐based transmissions. It has recently been agreed that UL data transmission without UL grant can be configured by the network to be carried out after semi‐static resource configuration in RRC without PHY signaling [3]. If the network configures the system accordingly though, PHY signaling for the activation and deactivation and/or modification of parameters for UL data transmission without UL grant can be applied. Work is ongoing to find a harmonized MAC design for UL SPS and grant‐free access based on configured PHY signaling.

11.6.4.4 Pre‐emptive Scheduling

As discussed throughout this chapter, 5G will need to support shorter TTI lengths in order to enable lower latencies. On the other side, as long as the latency requirement is not very strict, as for instance for eMBB services, and the traffic volumes to be transmitted are not small, longer TTI durations typically result in higher performance due to lower control overhead. So it is neither reasonable to fix transmission times to be very short (below 1 ms), nor to be long in any case and allow for specific selection instead. Naturally, when allowing transmissions with varying time bases to access the system, special treatments have to be accounted for as outlined next. Let us consider mixed traffic scenarios with both eMBB and URLLC traffic being present. For the DL, in case the BS has already scheduled and indicated eMBB traffic with, e.g., 1 ms TTI duration or longer, and an urgent URLLC packet arrives without respective resources being available, parts of the eMBB DL traffic may be punctured, and the URLLC data symbols inserted instead. Equivalently, in UL the URLLC packet may be allowed to be superimposed to the running eMBB transmission. This solution efficiently embeds URLLC into the frame with avoiding excessive resource reservation [42].

The disadvantage of applying puncturing/superposition as described above, is the negative impact on the eMBB transmission. If the eMBB victim is not aware of the puncturing or superposition, the inserted URLLC symbols will degrade the symbol detection performance significantly. Hence, a first option to lower this effect, is to inform the victim device via control signaling about the particular part of its transmission being punctured. Further solutions for improvement are to use: (i) code‐block based HARQ re‐transmissions (where only the punctured part is retransmitted) or even to (ii) retransmit the punctured code block immediately in the next scheduling opportunity (i.e., without NACK feedback). For further details, the interested reader is referred to Section 12.3.3.

11.6.4.5 Device‐to‐Device and Broadcast/Multicast

So far, we have handled unicast transmissions between device and network. For specific use cases, though, it is more efficient to introduce novel access paradigms, related to device‐to‐device (D2D) and broadcast/multicast (BMS) transmission. The former allows devices to directly communicate without the network being part of the data exchange, though, for controlling the connections, the network may still act in a supporting manner. When payloads are of relevance for a group of devices, BMS is typically more efficient than relying on multiple unicast connections. In the following, we provide some high‐level viewpoints. For a deep‐dive, the interested reader is referred to the wide range of available publications, e.g., given in [42].

D2D connections can be used for various means:

  • Network offloading with the help of content caching within dedicated devices, e.g., [45];
  • Coverage extension with the help of data relaying;
  • Direct interactions between the respective devices, e.g., between different road users.

The actual transmission can be ‘underlaid’ or ‘overlaid’, i.e., either the D2D connections have dedicated resources available, or they use superposition. Before being able to perform the data transfer, though, the related devices need to be aware of the available links and their respective quality. For this, proximity discovery is performed. Various approaches have been discussed in the literature to perform this step. Recently, both strategies with network support [46] and without network support [47] have been analyzed. For the latter, the achievable mean discovery time (i.e., the time until all nodes have been made aware of all potential partners) has been investigated depending on the number of active nodes and with or without the application of FD, as covered in detail in Section 16.2.4. Dedicated discovery messages have been designed, and the transmission probability for each node has been optimized to minimize the mean discovery time. The use of FD during the discovery phase helps to further reduce the mean discovery time. The given references provide further details on specifics of the concepts and performance results. A more thorough treatment of D2D can be found in Chapter 14.

Although being part of early releases of 4G already, services relying on broadcast/multicast are not yet widely applied in 4G networks. Different applications can benefit from this kind of access such as software updates of sensor networks, or multimedia streams to a group of people, e.g., video feeds during concerts or sports events. Recent studies have investigated means to enhance broadcast/multicast transmission:

  • Non‐orthogonal transmission schemes for stream multiplexing, including both beam‐based and multi‐level coding based variants;
  • The introduction of complementary unicast based feedback schemes.

The former approach allows to increase the spatial reuse by means of multi‐antenna precoding in order to superimpose several multi‐cast streams on top of a broadcast transmission. A possible usage scenario for such a technique is the transmission of area specific video feeds in a stadium (e.g., the camera feed capturing the area of the game field being far away from the respective multicast group) in addition to a broadcast transmission being of interest for all spectators. An alternative to this beamforming‐based approach is to rely on multi‐level coding, multiplexing different streams in code domain [42].

A common bottleneck of broadcast systems is the need to configure the transmission according to the weakest possible link. 5G naturally will allow for unicast UL transmissions, which may be used to improve the DL broad‐/multicast connections in various ways, such as:

  • transmitting channel quality indicators providing context that the system can use to allow for a more efficient initial broadcast transmission, and
  • enabling the system to introduce a HARQ mechanism to follow‐up the initial broadcast stream by subsequent unicast retransmissions.

For details and performance results, the interested reader is referred to [42].

11.7 Summary and Outlook

5G will more prominently than any of the earlier generations invite new and existing players to improve their products, systems and services by allowing those to obtain access to a wireless communication system. This wide range of new use cases and device classes accessing the network most often requires special treatment of those to ensure proper and efficient functioning. The air interface (AI) is one of the fundamental building blocks to address this. In particular, the AI should be designed in a way to provide more flexibility while avoiding being excessively complicated to build and test. The two possible design extremes are either having a single AI as a “one‐fits‐all solution”, where fixed configurations support each and every single requirement at any point in time, or allowing for an excessive number of solutions tailored to each single family of use cases, where the service provider requires to operate a respective number of RATs concurrently. This chapter has explored various design options within these stated extremes, though ultimately centering on a single multi‐service AI supporting some flexible adaptations to allow various kinds of services and device classes to be served according to their specific needs, and also the support of different transmission frequencies with very different transmission characteristics.

The chapter has in particular treated the wide topic of waveform design for 5G NR ‐ a key technology defining many features of the overall AI ‐ and compared a rich set of enhancements improving conventional CP‐OFDM in various aspects. Similarly, various coding options to be used in various settings have been identified, e.g., related to the size of the packets being transmitted, and efficient schemes to implement HARQ both for UL and DL have been discussed. While for bands below 6 GHz fully digital beamforming may be applied in the context of massive MIMO, systems operating at higher frequencies might have to rely on hybrid variants adding an analog component, as detailed in the chapter, along with the application of massive MIMO to an example scenario. Finally, various design principles for multiplexing the different service types within a single transmission band have been presented, both taking data and control signaling into account.

References

  1. 1 3GPP RP‐170379, “Study on New Radio (NR) Access Technology”, March 2017
  2. 2 3GPP RP‐170855, “Work Item on New Radio (NR) Access Technology”, March 2017
  3. 3 3GPP TR 38.802, “Study on new radio access technology physical layer aspects”, V14.1.0, June 2017
  4. 4 5G PPP mmMAGIC project, Deliverable D4.2, “Final radio interface concepts for mm‐wave mobile communications”, June 2017
  5. 5 H. G. Feichtinger and T. Strohmer, “Gabor Analysis and Algorithms: Theory and Applications”, Springer, 1998
  6. 6 5G PPP FANTASTIC‐5G project, Deliverable D3.2, “Final results for the flexible 5G air interface link solution”, May 2017
  7. 7 G. Berardinelli, F. M. L. Tavares, T. B. Sørensen, P. Mogensen, and K. Pajukoski, “On the potential of zero‐tail DFT‐spread‐OFDM in 5G networks”, IEEE Vehicular Technology Conference (VTC Fall 2014), Sept. 2014
  8. 8 D. Petrovic, W. Rave, and G. Fettweis, “Effects of phase noise on OFDM systems with and without PLL: characterization and compensation”, IEEE Transactions on Communications, vol. 55, no. 8, pp. 1607–1616, Oct. 2007
  9. 9 5G PPP mmMAGIC project, Deliverable D5.1, “Initial multi‐node and antenna transmitter and receiver architectures and schemes”, Mar. 2016
  10. 10 S. C. Cripps, “Advanced Techniques in RF Power Amplifer Design”, Artech House, 2002
  11. 11 5G PPP METIS II project, Deliverable D4.2, “Final air interface harmonization and user plane design”, Apr. 2017
  12. 12 P. Siohan, C. Siclet, N. Lacaille, “Analysis and design of OFDM/OQAM systems based on filterbank theory”, IEEE Transactions on Signal Processing, vol. 50, no. 5, pp 1170–1183, Aug. 2002
  13. 13 3GPP TR 38.913, “Study on Scenarios and Requirements for Next Generation Access Technologies”, V14.2.0, Dec. 2016
  14. 14 5G PPP FANTASTIC 5G project, Deliverable D3.1, “Preliminary Results for Multi‐Service Support in Link Solution Adaptation”, May 2016
  15. 15 R. Garzon‐Bohorquez, C. Abdel Nour and C. Douillard, “Improving Turbo Codes for 5G with parity puncture‐constrained interleavers”, Int. Symp. on Turbo Codes and Iterative Information Processing (ISTC 2016), Sept. 2016
  16. 16 R. Garzon‐Bohorquez, C. Abdel Nour and C. Douillard, “Protograph‐Based Interleavers for Punctured Turbo Codes”, IEEE Transactions on Communications, Dec. 2017
  17. 17 R. G. Gallager, “Low Density Parity‐Check Codes”, MIT Press, Cambridge, 1963
  18. 18 E Arıkan, “Channel Polarization: A Method for Constructing Capacity‐Achieving Codes for Symmetric Binary‐Input Memoryless Channels”, IEEE Transactions on Information Theory, vol. 55, no. 7, pp. 3051–3073, July 2009
  19. 19 C. Berrou and A. Glavieux, “Near Optimum Error Correcting Coding and Decoding: Turbo‐Codes”, IEEE Transactions on Communications, vol. 44, no. 10, pp. 1261–1271, Oct. 1996
  20. 20 R. Garzon‐Bohorquez, C. Abdel Nour and C. Douillard, “On the Equivalence of Interleavers for Turbo Codes”, IEEE Wireless Communications Letters, vol. 4, no. 1, pp. 58–61, Feb. 2015
  21. 21 J. Vogt and A. Finger, “Improving the max‐log‐MAP turbo decoder”, Electronics Letters, vol. 36, no. 23, pp. 1937–1939, Nov. 2000
  22. 22 D. J. C. MacKay and R. M. Neal, “Near Shannon Limit Performance of Low Density Parity Check Codes”, Electronics Letters, vol. 32, no. 18, pp. 1645–1646, Aug. 1996, Reprinted Electronics Letters, vol. 33, no. 6, pp. 457–458, Mar. 1997
  23. 23 T. Richardson and R. Urbanke, “Multi‐Edge Type LDPC Codes”, 2004, see http://citeseerx.ist.psu.edu/index
  24. 24 T. J. Richardson, M. A. Shokrollahi and R. L. Urbanke “Design of Capacity‐Approaching Irregular Low‐Density Parity‐Check Codes”, IEEE Transactions on Information Theory, vol. 47, no. 2, pp. 619–637, Feb. 2001
  25. 25 C. Jones, S. Dolinar, K. Andrews, D. Divsalar, Y. Zhang and W. Ryan, “Functions and Architectures for LDPC Decoding”, IEEE Information Theory Workshop, Sept. 2007
  26. 26 I. Tal and A. Vardy, “List Decoding of Polar Codes”, IEEE Transactions on Information Theory, vol. 61, no. 5, pp. 2213–2226, May 2015
  27. 27 B. Li, H. Shen and D. Tse, “An Adaptive Successive Cancellation List Decoder for Polar Codes with Cyclic Redundancy Check”, IEEE Communications Letters, vol. 16, no. 12, pp. 2044–2047, Dec. 2012
  28. 28 3GPP RAN1 meeting #86bis, Nokia, “Chairman's notes of AI 8.1.3 on channel coding and modulation for NR”, Oct. 2016
  29. 29 3GPP RAN1 meeting #86bis, AccelerComm, “Complementary turbo and LDPC codes for NR, motivated by a survey of over 100 ASICs”, Oct. 2016
  30. 30 3GPP RAN1 meeting #87, AccelerComm, Ericsson, Orange, IMT, LG Electronics, NEC, “WF on channel codes for NR short block length eMBB data”, Nov. 2016
  31. 31 3GPP RAN1 meeting #88, R1‐1702856, AccelerComm, “Enhanced turbo codes for URLLC”, Feb. 2017
  32. 32 L. Zheng and D. N. C. Tse, “Diversity and multiplexing: a fundamental tradeoff in multiple‐antenna channels”, IEEE Transactions on Information Theory, vol. 49, no. 5, pp. 1073–1096, May 2003
  33. 33 T. L. Marzetta, “Noncooperative Cellular Wireless with Unlimited Numbers of Base Station Antennas”, IEEE Transactions on Wireless Communications, vol. 9, no. 11, pp. 3590–3600, Nov. 2010
  34. 34 M. Hochwald, T. L. Marzetta, and V. Tarokh, “Multiple‐antenna channel hardening and its implications for rate feedback and scheduling”, IEEE Transactions on Information Theory, vol. 50, no. 9, pp. 1893–1909, 2004
  35. 35 O. Elijah, C. Y. Leow, T. A. Rahman, S. Nunoo and S. Z. Iliya, “A Comprehensive Survey of Pilot Contamination in Massive MIMO—5G System”, IEEE Communications Surveys & Tutorials, vol. 18, no. 2, pp. 905–923, Q2 2016
  36. 36 A. Alkhateeb, O. Ayach, G. Leus and R. W. Heath, “Channel Estimation and Hybrid Precoding for Millimeter Wave Cellular Systems”, IEEE Journal on Selected Topics on Signal Processing, vol. 8, no. 5, pp.831–46, Oct. 2014
  37. 37 S. Han, C.‐L. I, Z. Xu, and C. Rowell, “Large‐scale antenna systems with hybrid analog and digital beamforming for millimeter wave 5G”, IEEE Communication Magazine, vol. 53, no. 1, pp. 186–194, Jan. 2015
  38. 38 5G PPP mmMAGIC project, Deliverable D5.2, “Final multi‐node and multi‐antenna transmitter and receiver architectures and schemes”, June 2017
  39. 39 J. Mo and R. W. Heath, “Capacity Analysis of One‐Bit Quantized MIMO Systems with Transmitter Channel State Information”, IEEE Transactions on Signal Processing, vol. 63, no. 20, pp. 5498–5512, Oct. 2015
  40. 40 D.‐T. Phan‐Huy, P. Ratajczak, R. D’Errico, A. Clemente, J. Järveläinen, D. Kong, K. Haneda, B. Bulut, A. Karttunen, M. Beach, E. Mellios, M. Castaneda, M. Hunukumbure and T. Svensson, “Massive Multiple Input Massive Multiple Output for 5G Wireless Backhauling”, IEEE Globecom’2017 ET5GB workshop, Singapore, Dec 2017
  41. 41 3GPP TR 38.211, “Physical channels and modulation”, V1.0.0, (2017–09)
  42. 42 5G PPP FANTASTIC‐5G project, Deliverable D4.2, “Final results for the flexible 5G air interface multi‐node/multi‐antenna solution”, May 2017
  43. 43 3GPP TR 38.804, “Study on new radio access technology radio interface protocol aspects”, V14.0.0, Mar. 2017
  44. 44 S. Sesia, I. Toufik and M. Baker, “LTE The UMTS Long Term Evolution: From Theory to Practice”, John Wiley & Sons Ltd., 2009
  45. 45 A. Masucci, S. E. Elayoubi and B. Sayrac, “Flow level analysis of the offloading capacity of D2D communications”, IEEE Wireless Communications and Networking Conference (WCNC 2016), Apr. 2016
  46. 46 N. K. Pratas and P. Popovski, “Network‐Assisted Device‐to‐Device (D2D) Direct Proximity Discovery with Underlay Communication”, IEEE Global Conference on Communications (GLOBECOM 2015), Dec. 2015
  47. 47 M. G. Sarret, G. Berardinelli, N. H. Mahmood, B. Soret and P. Mogensen, “Can full duplex reduce the discovery time in D2D communication?”, International Symposium on Wireless Communication Systems (ISWCS 2016), Sept. 2016

Notes

..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset