Chapter 13. MEMS Testing

Ramesh RamadossAuburn University, Auburn, Alabama

Robert DeanAuburn University, Auburn, Alabama

Xingguo XiongUniversity of Bridgeport, Bridgeport, Connecticut

About This Chapter

Since the mid-1970s, microelectromechanical systems (MEMS) have emerged as a successful technology by utilizing the existing infrastructure of the well-established integrated circuit (IC) industry. MEMS technology along with very-large-scale-integration (VLSI) technology has created new opportunities in physical, chemical, and biological sensor and actuator applications. MEMS devices are typically manufactured using VLSI IC process-compatible fabrication techniques; however, the test methods for MEMS significantly differ from those used for VLSI circuits. This chapter focuses on MEMS testing and characterization, a topic of special interest to researchers and practicing engineers.

In this chapter, we begin with an overview of the MEMS field followed by a brief discussion of various considerations for testing MEMS devices at the chip, wafer, and package level. A review of various test methods used for MEMS devices is presented, including electrical, mechanical, and environmental tests. We next discuss experimental test setup and performance characteristics of interest for various MEMS devices such as radio-frequency (RF) MEMS switches, resonators, optical micromirrors, pressure sensors, microphones, humidity sensors, microfluidic systems, accelerometers, and gyroscopes. Due to the growing importance of microfluidics-based biochips, also referred to as lab-on-a-chip, and their potential for replacing cumbersome and expensive laboratory equipment, we include a section on the testing of digital microfluidic biochips. Finally, we present design-for-testability (DFT) and built-in self-test (BIST) techniques that have been proposed for testing various MEMS devices, followed by a number of examples with actual implementations of BIST in commercially available accelerometers.

Introduction

MEMS devices are miniature electromechanical sensors and actuators fabricated using VLSI processing techniques. Typical sizes for MEMS devices range from nanometers to millimeters (100nm to 1000μm). MEMS devices are characteristically low cost, highly functional, small, and light weight. Cost and weight reduction are the result of utilizing the semiconductor batch-processing techniques of photolithography and wafer-scale mass production. MEMS devices can sense, control, and actuate on the microscale and function individually (or in combination with other devices) to generate macroscale effects. MEMS enhances realization of system-on-chip (SOC) by integration of mixed domain technologies such as electrical, optical, mechanical, thermal, and fluidics. MEMS-based SOC combines the functionality of the IC (an information processor) with information gathering (sensing the environment) and actuation (acting on decisions) capabilities of MEMS.

MEMS devices also enable the miniaturization of sensor systems and the possibility of on-chip integration of VLSI electronics with mechanical sensors and actuators. The technology has proven to be revolutionary in many application arenas, including accelerometers, pressure sensors, displays, inkjet nozzles, optical scanners, interferometers, spectrometers, tilt mirrors, and fluid pumps. MEMS devices have potential applications in many fields including the telecommunications, optics, materials science, robotics, automotive, aerospace, healthcare, and information storage industries. Typical examples for commercial MEMS devices include Analog Devices’ ADXL series accelerometers, FreeScale Semiconductor’s pressure sensors and accelerometers, Texas Instruments’ digital light processing (DLP) displays, and Knowles Electronics’ SiSonic MEMS microphone [Petersen 2005].

Advances in microfluidics technology have led to the emergence of miniaturized biochip devices for biochemical analysis [Fair 2003] [Verpoorte 2003] [Dittrich 2006]. Microfluidics-based biochips, also referred to as lab-on-a-chip, are replacing cumbersome and expensive laboratory equipment for applications such as high-throughput sequencing, parallel immunoassays, protein crystallization, blood chemistry for clinical diagnostics, and environmental toxicity monitoring. Biochips offer advantages of higher sensitivity, lower cost because of smaller sample and reagent volumes, higher levels of system integration, and less likelihood of human error.

To ensure the testability and reliability of these MEMS-based SOCs, MEMS devices need to be thoroughly tested, particularly when used for safety-critical applications such as in the automotive and healthcare industry. Therefore, there is a pressing need for design for testability (DFT) and built-in self-test (BIST) of MEMS. However, MEMS devices have diverse structures and working principles. MEMS signals are essentially analog instead of digital, and multiple energy domains are generally involved in device operations. Furthermore, many MEMS devices contain movable parts and, hence, their defects and failure mechanisms are more complex than VLSI circuits. As a result, DFT and BIST for MEMS are more challenging than their VLSI counterparts [Mir 2006].

MEMS Testing Considerations

The majority of MEMS devices are inherently mechanical in nature and, as a result, MEMS devices necessitate special considerations during fabrication processes such as handling, dicing, testing, and packaging. This section presents a brief discussion of various considerations involved in testing MEMS.

MEMS devices require consummate care in handling because the micromechanical parts need to be protected from shock and vibration during transport and packaging. Also, extreme care must be taken to avoid particle contamination at various processing steps involved in MEMS fabrication. This is because dust particles are of the same size as many of the common features found in MEMS devices and can lodge in between moving elements disrupting the intended movement [Strassberg 2001]. As a common practice in MEMS industry, the backside of a fully processed wafer is attached to an adhesive plastic film and then mounted in a rigid frame for dicing at the wafer-processing facility. The dicing saw cuts through the silicon, but not all the way through the film such that the die is firmly held in place. This process also electrically and physically separates the dies from one another and permits automatic handling of entire wafers as well as electrical batch testing of individual dies. During dicing of the silicon wafer, the adhesive backed plastic film traps small particles that become liberated from the wafer. A protective overcoat is often used before release of the actuators at the wafer level to keep unwanted debris from entering the devices during the dicing process. This means that careful consideration must be given to the sequencing of the various processing steps and in particular the testing to be performed at the wafer level before and after dicing. If these particles were later to become trapped between the moving and nonmoving parts of the MEMS devices in the subsequent processing steps, they could prevent the MEMS from functioning properly [Strassberg 2001]. Traditional ICs are protected against debris caused by the dicing process through a passivation layer that is deposited on top of the wafer at the end of the processing sequence. However, most MEMS devices contain moving parts on the wafer surface and typically are not suitable for deposition of a passivation layer. As a result, MEMS devices often require packaging before dicing—that is, 0-level packaging at the wafer level by either wafer-to-wafer bonding or local bonding of minature caps (e.g., Si or glass) over the MEMS structure using a hermetic sealing ring [Wolf 2002].

MEMS test methods and instrumentation vary depending on whether the testing is performed at the wafer level (i.e., unpackaged die) or on packaged devices. Wafer-level testing is carried out using precision-controlled wafer probers that step from die to die on the wafer, making electrical contact using needle probes. Testing unpackaged MEMS dies using probes is typically limited to electrical tests that provide basic information about a subset of parameters of the die but cannot fully analyze the mechanical function of sensors and actuators. For a comprehensive characterization of MEMS devices, on-wafer testing technologies for MEMS devices must include nonelectrical stimulation and detection methods. For example, the automotive industry is driven by the relatively high risk of injuries to humans if air bag or antilock braking system sensors fail, and it therefore requires reliable multistimuli tests, including mechanical shock. It is desirable to include these stimulations during the execution of the electrical test. However, standard test handlers cannot expose MEMS devices to additional stimuli such as magnetic fields, required for Hall sensors, or acceleration to test acceleration sensors [Jaeckel 2002]. There have been considerable efforts in the development of multistimuli test systems that allow stimulation or measurement using sound, light, pressure, motion, or fluidics.

Like their VLSI counterparts, testing MEMS devices at wafer-level before packaging can improve overall yield and reduce production costs [Feuerstein 2004]. For new MEMS devices that are still at the design and development stages, a complete analysis at wafer level and throughout the various packaging stages provides a valuable insight into the design characteristics and enables process monitoring. For mature MEMS device technologies, the production costs can be lowered by identifying known good die (KGD) before packaging by wafer-level testing. Studies show that the packaging process causes 80% of manufacturing costs. By testing at earlier stages of production, the packaging of bad dies can be eliminated, and thus production costs can be reduced. By testing at several production stages, possible errors can be identified and eliminated, resulting in an optimized process and higher yield [Memunity 2007].

Fully packaged MEMS devices can be tested with the electrical and nonelectrical inputs required for the sensor to function. A variety of environmental test methods commonly used for testing packaged ICs can be directly employed for testing packaged MEMS devices, as will be discussed in the next section. Many standard tests are common to both ICs and MEMS, such as thermal cycling, high temperature storage, thermal shock, and high humidity. However, many MEMS packages need to fulfill additional specifications. For instance, the motion of MEMS inertial sensors or RF MEMS resonators is affected by the environment in which they function and would be influenced by particles, humidity, pressure, and ambient gases. Moreover, many MEMS sensors have additional specifications on resistance to shock and vibration.

Test Methods and Instrumentation for MEMS

MEMS encompass a wide variety of applications such as inertial sensors (accelerometers and gyroscopes), RF MEMS, optical MEMS, and bio or fluidic MEMS. The test instrumentation required for testing MEMS depends on the specific type of MEMS device and the desired performance characteristics. For example, inertial MEMS sensors require different test instrumentation than RF MEMS. Specifically, inertial MEMS sensors require electromechanical shaker and rate table tests, whereas RF MEMS devices typically require spectrum or network analyzers connected to a probe station. Furthermore, within RF MEMS, depending on the type of device under test, one needs different types of test instrumentation. For example, in ohmic contact RF-MEMS switches, the study of possible contamination and degradation of the ohmic contacts is of importance, whereas in capacitive RF-MEMS switches, one has to be able to study charging and degradation of the dielectric layer used in the switch [Wolf 2002].

In general, MEMS testing can be categorized as (1) functionality and performance testing and (2) reliability/failure testing. In functional testing, the characteristic performance parameters are measured and compared against benchmark specifications to verify the intended operation of the MEMS device. In reliability/failure testing, the performance degradation over sustained operation or shelf life and eventual failure of the device are investigated. Quite often the borderline between functional testing and reliability testing is not always clear. This section discusses the various test methods required for operational tests such as electrical, optical, mechanical, and environmental.

Electrical Test

Electrical tests are one of the most important methods employed to characterize MEMS. A typical electrical test setup consists of a probe station interfaced with the required test instrumentation. A wide range of electrical test equipment used for VLSI testing is commonly used to perform electrical characterization of MEMS devices. Typical electrical test instrumentation includes current, voltage, and resistance measurement systems, capacitance-voltage measurement systems, impedance analyzers for low-frequency characterization, network analyzers for high-frequency characterization, and signal analyzers. Other instrumentation can be added as needed. Probe length and wire types (shielded and unshielded) must also be carefully considered. For instance, resistance measurements must include a means for reducing contact errors. Capacitance measurements need to take into account the stray capacitance in test lines. These considerations are particularly important for MEMS devices where the parameters of interest are likely to be small.

A typical experimental setup used for testing an electrostatically actuated MEMS relay is shown in Figure 13.1. The setup shown consists of an Agilent 33220A function generator, Krohnit 7600 Wideband Amplifier, HP 54501A Oscilloscope, MM8060 Micromanipulator Probe Station, and HP3468A 4-wire Multimeter. The MEMS device operation is monitored using a CRT display interfaced to the microscope through a CCD camera. The output of the function generator is set to a 10 V square wave with a 50% duty cycle. The output of the function generator is input to the amplifier and the output of the amplifier is connected to the DC and ground probes in the probe station. The output of the amplifier is measured using the oscilloscope. The resistance of the MEMS relay is measured using the 4-wire multimeter where the two current source wires are connected to probes P1 and P2 and the two voltage sensing wires are connected to probes P3 and P4. The basic test setup described here can be used to test a variety of actuators including electrostatic, thermal, and piezoelectric.

Electrical test setup of MEMS using a probe station.

Figure 13.1. Electrical test setup of MEMS using a probe station.

Optical Test Methods

MEMS actuators typically include mechanical motion associated with the electrical signals. In MEMS testing, it is often of interest not only to measure the electrical characteristics of the MEMS but also to monitor its mechanical motion by optical inspection. Optical profilometers, such as an optical microscope, confocal microscope, optical interferometers, and laser Doppler vibrometer, are useful for making static and dynamic measurements of MEMS devices [Lecklider 2006].

An optical microscope equipped with high-resolution objectives and accurate graticule can be used to measure MEMS features in a two-dimensional plane view. High-speed photomicrography is often used in conjunction with an optical microscope to capture video of MEMS devices in motion.

Traditional microscopes focus a magnified area of the specimen to an area of the viewer’s eye. A confocal microscope images only a single point at a time rather than an area. Modern confocal microscopes employ low-cost lasers and computers to scan a thin slice through the specimen. By vertically repositioning the specimen, a series of slices are acquired and reassembled into a three-dimensional image.

In optical interferometers, a beam of light is divided into two beams. One beam reflects off the specimen being examined, while the other beam reflects off the reference mirror. The resulting fringe pattern is a function of the specimen height as well as the reference mirror angle. By counting fringes, the height of the specimen can be measured. The optical interferometers can make use of white light (e.g., a sodium lamp) or of coherent monochromatic light (a laser light). Optical interferometers are useful for measuring noncontact three-dimensional profiles of MEMS devices. Examples of optical interferometers include Wyko series manufactured by Veeco Instruments, NewView 6000 series manufactured by Zygo, PhotoMap 3-D profilometers by Fogale nanotech, and the Xi-100 developed by Ambios Technology.

Laser Doppler vibrometry (LDV) is based on the modulation of laser interference fringes caused by motion of the device under test (DUT). The fringe pattern in a Doppler vibrometer is moving at a rate proportional to the device motion. By measuring the time rate of change in distance between successive fringes, a vibrometer can measure displacement as well as velocity. The direction of motion can be determined by observing the Doppler effect on the modulation frequency. LDV is useful for measuring transient and steady-state responses of MEMS devices. A wide variety of LDVs for MEMS applications are available such as Polytec’s MSA-400 Microsystem Analyzer, which uses white light interferometry for static surface topography (see Figure 13.2a), laser Doppler vibrometry for measuring out-of-plane vibrations (see Figure 13.2a), and stroboscopic video microscopy for measuring in-plane motion (see Figure 13.2c and Figure 13.2d) [Polytec 2007].

Optical profile measurements using Polytec’s MSA 400 Microsystem Analyzer for in-plane motion measured using stroboscopic illumination technique: (a) static surface topography measurement using White Light Interferometery, (b) laser-Doppler vibrometry for measuring out-of-plane vibrations, (c) Bode plot for amplitude response, and (d) Bode plot for phase response.

(Courtesy of Polytec.)

Figure 13.2. Optical profile measurements using Polytec’s MSA 400 Microsystem Analyzer for in-plane motion measured using stroboscopic illumination technique: (a) static surface topography measurement using White Light Interferometery, (b) laser-Doppler vibrometry for measuring out-of-plane vibrations, (c) Bode plot for amplitude response, and (d) Bode plot for phase response.

Material Property Measurements

Several material properties and processing parameters influence the functionality and reliability of MEMS. Relevant material properties include elastic modulus, Poisson’s ratio, fracture toughness and mechanisms, electrical properties (resistivity, migration), interfacial strength, and coefficient of thermal expansion. The specific processing techniques used to fabricate MEMS devices can lead to such outcomes as residual stresses and stress gradients, changes in grain size, surface roughness, doping gradients, stiction, and warping effects. A thorough knowledge and control of the mechanical parameters of all materials utilized for MEMS is important for obtaining good functionality and reliability.

Typically, the structural layers in surface micromachined MEMS devices are fabricated using thin films. The material properties of these films influence the functionality and reliability of the resulting MEMS devices. MEMS often fail when the stresses and stress gradients become too large. MEMS-based test structures such as cantilever beams, clamped-clamped beams, and Guckel rings (see Figure 13.3) are often co-fabricated on the wafer for making stress and strain measurements. Optical profile measurements of these test structures can be used for estimation of the strain gradient, residual strain, and material properties. For example, the curvature of cantilever beams can be used to obtain the stress gradient present in the film. Buckling behavior of fixed-fixed test structures can be used to obtain compressive stresses in the film. A Guckel ring can be used to obtain tensile stress information.

MEMS test structures for material and process parameter measurements: (a) cantilever beam, (b) fixed-fixed beam, and (c) Guckel ring.

Figure 13.3. MEMS test structures for material and process parameter measurements: (a) cantilever beam, (b) fixed-fixed beam, and (c) Guckel ring.

Failure Modes and Analysis

In addition to many failure modes known from the IC and IC-packaging world, MEMS have specific failure modes, such as fatigue, wear, fracture, and stiction. Several kinds of test structures are commonly used to study materials related reliability issues such as fatigue. Typically, samples with a preformed notch are used, such that the growth of a crack during functioning can be studied, either by direct optical observation or by a study of the influence on the Eigen-frequency of a beam or similar structure, for example. Another approach is bending test devices in which the material achieves locally high strain levels during bending tests. Free cantilever beams with different lengths can also be used to study stiction. The length of the stuck part depends on the humidity level, the restoring force, and the roughness of the microstructures in contact.

Surface roughness can affect issues such as stiction, wear, contact degradation, and contact resistance. Contact profilometers such as Dektak stylus profilers can be used to measure the surface roughness and the thickness of thin films. Measurements of this sort are usually restricted to special areas on the wafer or before release of the devices. This is because during measurements, the contact type profilometer makes contact with the part being measured and therefore could potentially damage fragile MEMS parts. Contact profilometers are suitable only for static measurements. Atomic force microscopy (AFM) is a useful tool for measuring surface roughness. It should be pointed out that the roughness of the top surface of a moving MEMS part is not necessarily the same as the roughness of the bottom surface. To measure the bottom side roughness, the moving part can simply be removed destructively or in some cases even cut with a focused ion beam (FIB) and examined. An AFM can also be used to obtain information on mechanical parameters, contact resistance as a function of force, or even tribological information such as adhesion forces. Also nanoindentor systems are frequently used to study MEMS: they can provide information on the Young’s modulus of materials by physically indenting them, and they can also be used to obtain force-displacement curves of moving parts. Noncontact measurements using an optical interferometer can also be employed to determine surface roughness.

Several failure analysis (FA) techniques that are conventionally used for chips and packages can also be used for MEMS. Especially useful is the scanning electron microscope (SEM) for inspection and the FIB to make local cross sections. The SEM is a type of electron microscope capable of producing high-resolution surface images of a DUT. The three-dimensional characteristics of SEM images are useful for failure analysis of the surface structure of the DUT. Example SEM pictures of a MEMS relay are presented in Figure 13.4. FIB is a similar technique to the SEM, with the exception that a beam of excited ions is used instead of the conventional electron beam. The ion beam can be used to vaporize material from the DUT surface and is capable of removing layers and machining trenches and troughs to reveal sections or surfaces of interest for imaging and examination. Load-displacement tools such as nanoindentor systems can also be used to obtain information such as Young’s modulus of materials and force-displacement characteristics of movable MEMS parts. Additional techniques include transmission electron microscopy (TEM), photon emission microscopy (PEM), scanning acoustic microscopy (SAM), infrared inicroscopy (In), x-ray, and Raman spectroscopy [Wolf 2002].

SEM pictures of a multicontact MEMS relay using bidirectional comb-drive electrostatic actuator: (a) top view and (b) closeup view of one movable finger and two fixed fingers, from [Almeida 2006].

Figure 13.4. SEM pictures of a multicontact MEMS relay using bidirectional comb-drive electrostatic actuator: (a) top view and (b) closeup view of one movable finger and two fixed fingers, from [Almeida 2006].

Mechanical Test Methods

Mechanical testing consists of subjecting the DUT to some type of external motion, such as translation, vibration, rotation, or mechanical shock, and then detecting the response of the device. Vibration testing is particularly useful for evaluating many types of MEMS devices. Most MEMS devices are composed of movable microstructures that are attached to fixed microstructures by one or more beams. Typically, it is a reasonable assumption to consider the fixed and movable microstructures to be rigid structures and to consider the beams to be flexible structures that elastically deform when an external force is applied to the movable microstructure. The beam or beam structure connecting the microstructures acts as a linear spring, at least for small deflections, and can be modeled by a system spring constant, k, where k is a function of the number of beams, the beam geometries, and the material properties of the beams. The movable microstructure is called the proof mass and has a mass, m. The fixed microstructure can be referred to as the frame. The MEMS device also possesses damping because of internal losses in the beams and external losses such as the interaction of the movable microstructure with the surrounding gas. The composite damping effects are modeled by a single linear damping constant, c. A MEMS device, such as the simple accelerometer illustrated in Figure 13.5, can be modeled by a linear second-order spring-mass-damper system.

Accelerometer modeled as a linear second-order spring-mass-damper system.

Figure 13.5. Accelerometer modeled as a linear second-order spring-mass-damper system.

In some applications, the input to a MEMS device is a physical displacement to the frame, x1(t), and the output is the displacement of the proof mass, x2(t). However, even in systems where this is not the case, this model is useful for evaluating the motion of movable microstructures because of disturbances to the system resulting from external forces acting on the frame. The governing differential equation describing the system dynamics is:

Equation 13.1. 

Using a Laplace transformation, the transfer function of the mechanical frequency response can be computed from Equation (13.1) to be:

Equation 13.2. 

where ωn is the natural frequency of the second order system model and can be calculated from:

Equation 13.3. 

and Q is the system quality factor, which can be calculated from:

Equation 13.4. 

The quality factor represents a ratio of the energy stored in a cycle compared to the energy dissipated in a cycle. A high Q system will experience large amplitude motion at its resonant frequency if it is externally excited by a small amplitude signal at that frequency. The quality factor, Q, can be replaced by ζ, the damping coefficient, using the following relationship:

Equation 13.5. 

The magnitude response of Equation (13.2) is called the transmissibility [Meirovitch 1986] and is:

Equation 13.6. 

and the phase response is:

Equation 13.7. 

Figure 13.6 presents a graph of several plots of the transmissibility for various values of Q where the x-axis variable is represented by ω/ωn, which is referred to as normalized frequency. Therefore, the natural frequency occurs on the plot at the value of ω/ωn equal to 1. Although the magnitude response in Figure 13.6 is a second-order low-pass filter response, the zero in the numerator of Equation (13.2) results in some interesting properties. When Q ≥ 5, the magnitude of T(jω) at the natural frequency, ωn, is approximately equal to Q, the system quality factor. Also, the magnitude of T(jω) is always greater than 1 at the natural frequency, ωn, for any value of Q. The stopband attenuation is dependent on the value of Q. Consider the stopband response for three values of Q, 1, 10, and 1000. For Q = 1, the attenuation between 2ωn and 20ωn is 21.85 dB, which is approximately the attenuation achieved in a first-order lowpass system. For Q = 10, the attenuation between 2ωn and 20ωn is 35.64 dB. For Q = 1000, the attenuation between 2ωn and 20ωn is 42.48 dB, which is approximately the attenuation achieved in a second-order lowpass system.

Transmissibility versus normalized frequency for various values of Q.

Figure 13.6. Transmissibility versus normalized frequency for various values of Q.

Measuring the transmissibility of MEMS devices gives designers or end users an abundance of useful information. In addition to revealing whether the device has a lowpass, highpass, or bandpass frequency response, it reveals the resonant frequency or frequencies. Measurement of the quality factor also reveals both the susceptibility of the device to ringing at its resonant frequency and what the time response will be to an input signal such as a step function. For example, if an end user desires to place several identical devices in close proximity to each other and they each possess a high quality factor, special packaging may be required to prevent the devices from adversely affecting each other through mutual excitation to ring at their resonant frequency, a condition called intermode coupling.

An electromechanical shaker is a useful piece of test equipment for evaluating the transmissibility of a device. A shaker uses a magnetic field to induce sinusoidal motion in an attached shaker head onto which devices can be attached and vibrated. The magnetic field that induces motion in the shaker head is controlled by an electrical input to the shaker, so that the user can select the amplitude and frequency or bandwidth of the sinusoidal excitation. Several different types of electromechanical shakers are commercially available in different sizes and with different operating characteristics. A photograph of an LDS model V408 electromechanical shaker is presented in Figure 13.7, which can be used to vibrate a MEMS device at a specific amplitude and frequency or over a bandwidth of frequencies. A packaged accelerometer is attached to the shaker head in the photograph. Many shaker heads have a center-tapped hole that can be utilized for mounting the MEMS device. It is relatively easy to attach a printed circuit board (PCB) to the tapped hole in a shaker head. This is particularly useful if the MEMS device is already packaged and can be soldered to the PCB. The PCB can be designed so that it has a center hole and can be bolted to the shaker head. However, because it is usually desirable to mount the MEMS device directly above the center of the shaker head, one can attach the PCB to the top side of a small aluminum block, either with screws or an adhesive, and then attach the bottom side of the aluminum block to the shaker head using a threaded stud. However, it is important for the PCB to be rigidly attached to the shaker head so that it does not elastically deform during testing and corrupt evaluation of the MEMS device. The PCB approach also allows the use of electrical connections to the MEMS device during testing. Testing of an unpackaged MEMS device on a shaker is more complicated. Plastic fixtures into which the MEMS device can be temporarily clamped can be machined and used to attach the device to the shaker head for testing. Another option is the use of a low temperature adhesive to temporarily bond the die to an aluminum block for attachment to the shaker. Depending on the design of the MEMS device, a cavity may need to be milled into the aluminum block under where the proof mass structure will rest so that it can freely move during testing. After testing is completed, the die can be removed from the aluminum block by heating it on a hot plate.

Photograph of an LDS model V408 electromechanical shaker with attached accelerometer.

(Courtesy of Auburn University.)

Figure 13.7. Photograph of an LDS model V408 electromechanical shaker with attached accelerometer.

A convenient method for measuring the mechanical response of an unpackaged MEMS device where the proof mass motion of interest is normal to the surface of the MEMS device is to reflect a laser beam off of the frame using a laser interferometric measurement system, which will yield the motion of the frame, X1(f), as a function of frequency. A second laser interferometric system can be used to measure the motion of the proof mass, X2(f), as a function of frequency by reflecting a laser beam off of the proof mass. If X1(f) and X2(f) are recorded simultaneously, then the transmissibility of the MEMS device can be determined by computing X2(f)/X1(f) and plotting the quotient as a function of frequency. From this plot, the resonant frequency and the quality factor of the MEMS device can be estimated. An example plot of the transmissibility versus frequency from the measured frequency response of a MEMS device is presented in Figure 13.8. From examining the data in Figure 13.8, one can determine that the resonant frequency is approximately 1.35 KHz and the quality factor is approximately 22. Also observe that the MEMS device has a highly underdamped lowpass response. For frequencies significantly less than the resonant frequency, the transmissibility is approximately equal to 1. Because the phase response approaches 0° as the excitation frequency approaches 0 Hz, from Equation (13.7), a steady-state sinusoidal displacement that is input at a frequency significantly less than the resonant frequency will excite almost the same motion in the proof mass as in the frame.

Example of the measured mechanical frequency response of the MEMS device.

Figure 13.8. Example of the measured mechanical frequency response of the MEMS device.

For MEMS devices that have motion parallel to the surface of the device, it is generally not possible to use laser interferometric measurement systems to analyze the response of the proof mass relative to the frame. For evaluating MEMS devices that exhibit this type of motion, the sense mechanism built into the device, such as capacitive displacement or piezoresistive strain sensing, can be used instead. For an accelerometer, the bandwidth of operation is usually DC to some frequency below the resonant frequency of the device. Because the transmissibility of the MEMS device over this bandwidth is approximately 1, as illustrated in Figure 13.8, an electromechanical shaker is of little use in measuring the response of the accelerometer to a specific acceleration level, especially at very low frequencies.

So how can the response of an accelerometer to different acceleration levels be evaluated? Measuring the response of an accelerometer to 1 g, where 1 g is the acceleration that results from gravity on the earth (approximately 9.8 m/s2), is quite easy to accomplish. If the accelerometer is at rest while oriented such that the positive direction of acceleration measurement is down, the accelerometer is experiencing 1 g of acceleration because of the force of gravity. Likewise, if the accelerometer is rotated 180° so that the positive direction of acceleration measurement is up, the accelerometer is now experiencing –1 g of acceleration from the force of gravity. Also, the accelerometer will experience 0 g of acceleration along its direction of measurement if it is oriented such that the positive direction of acceleration measurement is orthogonal to the force of gravity.

A rate table is a test instrument that has a rotating head onto which a MEMS device may be attached and rotated. Consider a round table top with a radius, r, rotating at a constant angular rotation rate, ω, as depicted in Figure 13.9.

Illustration of a rate table.

Figure 13.9. Illustration of a rate table.

Assume that the axis of rotation is along the direction of gravity. An object with a mass, m, that is held on the edge of the wheel at a distance r from the center of rotation will experience a centripetal force, Fc, equal to:

Equation 13.8. 

The acceleration that the object experiences, ac, is then equal to:

Equation 13.9. 

and is directed radially away from the center of the rotating table. Therefore, a rate table can be utilized to evaluate a device at different acceleration levels, where either the distance that the device is located from the center of rotation or the rotation rate can be varied to adjust the desired acceleration. However, it is usually easier to change the rotation rate than the distance that the device is mounted from the center of rotation. High precision rate tables are commercially available that include closed loop control of the rotation rate or rotation rate profile; of course, these systems can be expensive.

A simple rate table can be constructed fairly inexpensively. A DC motor that is firmly attached to a base along with a variable voltage power supply can be used with a slip ring assembly and a machined plate to realize a simple but useful rate table. The slip ring assembly attaches to the motor shaft and provides electrical contacts to devices mounted on the rate table. Slip ring assemblies with 10 or more electrical feedthroughs can be purchased. The plate can be machined to include mounting holes for the accelerometer and mounting brackets to attach it to the slip ring assembly. To keep the rate table balanced, a dummy accelerometer or a second accelerometer should be attached to the rate table plate at a location opposite to the accelerometer under test. Because the rate table is spinning an object that is temporarily attached to it, appropriate safety measures should be used whenever the rate table is spinning, which, at a minimum, include the use of safety glasses. A safer approach is to place a strong Plexiglas box over the rate table whenever it is in use and to still require the use of safety glasses by all personnel in the vicinity of the unit.

A photograph of a simple rate table, consisting of a DC motor, a motor controller, a 10-pin electrical feedthrough, and a machined plate, is presented in Figure 13.10. Because this simple rate table is operated open loop, a method of measuring the angular rate is needed. One technique is to attach some type of encoder to the motor shaft and then detect the analog or digital output of the encoder. Another technique is to use a laser interferometric measurement system and reflect the laser beam off of a rotating reflector placed on either the motor shaft or the machined plate. A spectrum analyzer is then used to determine the precise rotation rate by computing the frequency response of the output signal from the interferometer.

Photograph of a simple rate table.

(Courtesy of Auburn University.)

Figure 13.10. Photograph of a simple rate table.

A rate table is also useful for evaluating the response of a MEMS device to an angular rotation or rotation rate by mounting the device on the rate table at the center of rotation and detecting the output signal from the device. Some commercially available rate tables come with sophisticated software control features that allow complex rate profiles to be performed on the rate table. An example might be a ramp up from 0 revolutions per minute (rpm) to 100 rpm over 5 seconds, followed by a steady rotation rate of 100 rpm for 5 seconds, and finally a ramp down to 0 rpm over 5 seconds.

Environmental Testing

Environmental testing can include evaluation of one or more conditions such as temperature, humidity, and atmospheric pressure, to name a few. During thermal evaluation, the device is tested at a temperature different than room temperature or over a time varying temperature range to determine how the temperature affects the performance of the device. Thermal evaluation determines how a device’s characteristics change as a function of temperature. Static thermal evaluation consists of holding the device at a constant temperature different than room temperature for some period of time. For temperatures greater than room temperature, this is fairly easy to accomplish. The device can either be heated on a hot plate or in a small oven, called a box oven. A photograph of a box oven is presented in Figure 13.11. Small storage freezers can be purchased that go as low as –40°C, but special equipment must be purchased or constructed if testing at lower temperatures is required. Many types of box ovens, as well as other types of environmental testing chambers, have one or more holes in the back or side panel for electrical feedthroughs so that devices placed in the chamber can be powered up and evaluated using test equipment that resides outside of the chamber. During the test, it is important to use an accurate temperature-measuring device, such as a thermocouple, attached to or close to the MEMS device. Kapton tape, a high temperature polyimide material with attached adhesive, can be used to attach the thermocouple for testing at temperatures up to 400°C. It is important to let the device reach the test temperature before recording the output of the MEMS device.

Photograph of a box oven.

(Courtesy of Auburn University.)

Figure 13.11. Photograph of a box oven.

Another type of thermal evaluation is thermal cycling where a device is placed in a thermal cycling chamber and the temperature is repeatedly cycled between a low temperature and a high temperature. The thermal cycling rate is generally slow (perhaps 30 min) so that the device has ample time to respond to the stimulus. Again, the response of the device during thermal cycling is usually recorded by equipment residing outside of the chamber. The duration of thermal cycling can range from one cycle to several thousand cycles.

High-temperature or low-temperature storage is another thermal evaluation technique, where the unpowered device under evaluation is placed in either a high-temperature oven or a low-temperature freezer for an extended period of time. After the device is removed and brought back to room temperature, it is then powered up and evaluated to see if the thermal storage event had a deleterious effect on the device. Another thermal evaluation technique called thermal shock is sometimes used, where a device is quickly cycled between hot and cold temperatures to stress the device to the point of failure. If the device is cycled while electrically connected, the number of cycles it takes to cause the device to fail can be evaluated. Even if the device is thermally cycled while unpowered, it can be examined after the test to investigate failure mechanisms.

Many types of MEMS sensors have been developed for detecting chemicals such as carbon dioxide, carbon monoxide, hydrogen sulfide, and atmospheric water vapor. Of all of these types of chemical sensors, humidity sensors are among the easiest and probably the safest to test. Although the simplest test would be to have someone breathe on the sensor and then record the output of the device from the stimulus, this is probably not the preferred way to evaluate the sensor. A more quantitative evaluation can be accomplished through utilizing a controlled humidity chamber where a closed-loop system accurately sets the humidity level inside the chamber to the desired level. In addition to humidity, temperature can also usually be controlled inside the chamber. Typically the humidity and temperature can be controlled over a certain range, such as a relative humidity range from 40% to 100% over a temperature range from 20°C to 85°C. Some chambers also allow the humidity and temperature to be cycled, similar to how temperature is cycled in a thermal cycling chamber. Many humidity chambers also have one or more ports for electrical feedthroughs so that the sensor or sensors under evaluation can be powered up and monitored throughout the test. In addition to evaluating the performance of MEMS humidity sensors, the effects of relative humidity levels on other types of MEMS sensors that have direct access to the environment can be evaluated.

For some types of MEMS devices, it is useful to perform the evaluation while changing the pressure of the surrounding atmosphere. Examples include pressure sensors that utilize sealed cavities, resonators, and unpackaged accelerometers. Some pressure sensors utilize an on chip-sealed cavity that is separated from the external environment by a micromachined diaphragm. The pressure difference between the surrounding environment and the sealed cavity determines the deflection of the diaphragm. Micromachined resonators often utilize fluidic damping to control the resonating frequency of the device, and many accelerometers utilize fluidic damping to control the mechanical quality factor of the device. Therefore, evaluating the performance of these types of devices in a chamber where the atmospheric pressure can be controlled is useful when testing and characterizing the devices. A simple low-pressure evaluation system can be assembled using a glass bell jar, a base with electrical feedthroughs, a seal ring with vacuum grease, a pressure gauge, and a mechanical vacuum pump. Mechanical vacuum pumps can typically achieve low vacuums in the range of about 1×10–4 Torr (where 760 Torr is standard atmospheric pressure). Turbo and cryopumps can achieve a high vacuum, with pressures approaching 1×10–8 Torr. Whereas mechanical pumps can cost as little as a few thousand dollars, turbopumps and cryopumps can easily cost more than $10,000. High-pressure chambers can also be purchased, but pressures less than atmospheric pressure are usually of more interest because MEMS devices are often packaged and sealed at such pressures to obtain the desired fluidic damping levels.

RF MEMS Devices

MEMS employed in radio-frequency (RF) applications are called RF MEMS. These represent a new class of devices and components that exhibit low insertion loss, high isolation, high Q, small size, and low power consumption, and they enable new system capabilities. The application of MEMS in RF technology can be broadly classified into two categories: active (moving) devices, which involve mechanical motion (RF MEMS switch, RF MEMS capacitors, RF MEMS resonators, etc.) and static (nonmoving) components (micromachined transmission lines, resonators, etc.). This section examines instrumentation and methodologies for testing as well as performing reliability assessments and failure analyses of RF MEMS.

RF MEMS Switches

Peterson was the first to demonstrate microelectromechanical relays [Petersen 1978]. Since then, several MEMS relays and high-frequency MEMS switches have been developed by various researchers and discussed in the literature [De Los Santos 1999] [Rebeiz 2002]. MEMS relays are more preferable than other conventional semiconductor-based switching devices such as field effect transistors because of their low-loss, low power consumption; absence of intermodulation distortion; and broad-band operation from DC to the microwave frequency range. RF MEMS switches can be classified as resistive (or ohmic) contact or capacitive contact type depending on the type of contact used for signal transmission.

An ohmic contact switch uses a metal-to-metal contact between the two electrodes for signal transmission. In the ON state, the electrodes are in contact, and in the OFF state, the electrodes are separated by a small gap. Ohmic contact RF MEMS switches can be characterized by measuring the resistance, R, versus applied actuation voltage, V. The operating voltage required to obtain electrical continuity can be obtained from measuring the R-V characteristics. RF characteristics of RF MEMS switches are obtained by measuring the S-parameters in both the ON and OFF states of the switch. S-parameters are most commonly used for electrical characterization of devices, components, and networks operating at RF and microwave frequencies. Many electrical properties such as insertion loss, return loss, gain, voltage standing wave ratio (VSWR), and reflection coefficient can be expressed using S-parameters. The measurement test setup consists of a vector network analyzer interfaced to a probe station. Typically, on-wafer calibration is performed before performing measurements on the switches.

In a capacitive contact switch, a thin dielectric layer is present between the two electrodes. ON and OFF states are obtained by changing the capacitance from a high to low value or vice versa in a series or shunt configuration, respectively. Capacitive contact RF MEMS switches can be characterized by measuring the capacitance-voltage (C-V) characteristics. A C-V meter or an impedance analyzer equipped with a bias-T can be used in conjunction with a probe station to obtain C-V characteristics. The pulldown voltage can be determined from the C-V characteristics. RF characteristics of RF MEMS switches are obtained by measuring the S-parameters using a network analyzer (see Figure 13.13b).

The reliability of MEMS switches has been a major concern that limits the use of MEMS in real-world applications. Ohmic contact MEMS switch reliability issues, such as failure caused by stiction and contact degradation, have been observed to be the key failure modes. Stiction is the unintentional adhesion of the movable and fixed parts in MEMS caused by surface adhesion forces. Failure because of stiction is frequently encountered in electrostatically actuated contact type MEMS relays. Typically, MEMS switches are designed for operation at low actuation voltages, which necessitates the design of movable micromechanical parts with low restoring spring forces. Permanent failure because of stiction occurs when the restoring spring force of the movable part is lower than the adhesion forces generated at the contact surfaces. Another common reliability issue in ohmic contact MEMS switches is the increase of resistance over many actuation cycles. Specifically, the resistance of the MEMS relays gradually increases with actuation cycles and, after several million actuation cycles, leads to an unacceptably high insertion loss. In capacitive contact type MEMS switches, reliability issues such as stiction from charge accumulation in the dielectric layer and capacitance degradation with actuation are commonly encountered failure modes.

The reliability and lifetime testing of RF MEMS switches based on S-parameter measurements is expensive because of the duration of the test time. A low-frequency electrical test setup for reliability testing of RF MEMS switches is shown in Figure 13.12 [van Spengen 2003]. The setup consists of two signal generators, a filter, and a demodulator. The RF MEMS switches are driven by an actuation signal from generator 1. A low frequency RF signal from generator 2 is superimposed on the actuation signal. The combined signal is applied to the RF MEMS switch, which is part of a voltage divider. The carrier voltage is modified by the switching action, resulting in an amplitude modulation of the signal. The modulated signal is detected using a demodulator to obtain switch characteristics such as pull-in voltage, rise time, fall time, and capacitance change for capacitive switches or contact resistance change for ohmic switches. Reliability of switches can be quantified by measuring the drift in any of these parameters.

Low-frequency electrical test setup for reliability assessment of capacitive RF MEMS switches [van Spengen 2003].

Figure 13.12. Low-frequency electrical test setup for reliability assessment of capacitive RF MEMS switches [van Spengen 2003].

RF MEMS Resonators

A mechanical filter is composed of multiple coupled lumped mechanical resonators. Mechanical filters transform electrical signals into mechanical energy, perform a filtering function, and then transform the remaining output mechanical energy back into an electrical signal. The characteristics of mechanical filters include low-loss, narrow bandwidth, and high temperature stability. Mechanical filters were first developed in the late 1940s and are widely used in telephone systems. MEMS technology has been applied to the miniaturization of mechanical resonators and filters. Various designs investigated for MEMS-based mechanical resonators include double-folded beam, clamped-clamped beam, free-free beam, wine-glass disk resonator, contour mode disk resonator, and hollow disk ring resonator [Nguyen 2005]. MEMS resonators and filters are characterized by measuring the frequency response characteristics. The performance parameters such as the resonant frequency, Q-factor, and bandwidth are obtained from the measured frequency response characteristics. The equivalent circuit parameters can be extracted from the measured frequency response characteristics. This section discusses instrumentation setup, testing, and characterization of one-port and two-port RF MEMS disk resonators [Clark 2001, 2005] [Hsu 2004].

A MEMS disk resonator in a one-port configuration is shown in Figure 13.13a [Clark 2005]. A typical test setup for testing a one-port contour-mode disk RF MEMS resonator is shown in Figure 13.13b. The required test instrumentation includes a network analyzer, a DC voltage source, a bias-T, and a vacuum chamber. The contour-mode disk resonator consists of a resonating circular disk, two input electrodes, and a bottom output/bias electrode. In this setup, the RF-out port, vi, of the network analyzer is connected directly to both input electrodes of the resonator inside the vacuum test chamber. The analyzer’s RF-in port, vo, is connected to the resonating disk through the output/bias electrode located under the disk. A bias-T is used to apply a DC bias voltage, Vp, to the disk. The bias-T separates the DC-bias voltage and the RF signal. Before the measurements, a through calibration is performed by replacing the DUT by a short, and the network analyzer is baseline corrected against this measurement. Figure 13.13c shows a transmission spectrum (vo/vi) obtained from a one-port measurement of a 156 MHz disk resonator. A large mismatch of about 47 dB was measured at the resonant frequency of 156 MHz because of the mismatch between the impedance of the resonator and the 50Ω impedance of the test equipment. From the measured results, the equivalent circuit model, shown in Figure 13.13d, parameters are extracted and found to be Rx = 22.287 kΩ, Lx = 70.15 mH, Cx = 14.793 aF, and Co = 57.78 fF. This test setup is applicable to a wide variety of one-port resonators.

RF MEMS disk resonator in one-port configuration [Clark 2005]: (a) schematic of the dark resonator, (b) test setup, (c) measured transmission spectrum, and (d) equivalent circuit model.

Figure 13.13. RF MEMS disk resonator in one-port configuration [Clark 2005]: (a) schematic of the dark resonator, (b) test setup, (c) measured transmission spectrum, and (d) equivalent circuit model.

An RF disk resonator in two-port configuration is illustrated in Figure 13.14a [Clark 2005], and a typical test setup is shown in Figure 13.14b. The RF input signal, vi, is applied to the input electrode and the RF output signal, vo, is measured from the output electrode. A DC bias voltage is directly applied to the disk without the need for a bias-T. The equivalent circuit model of the two-port resonator is shown in Figure 13.14d. In the two-port configuration, the input-to-output static capacitance, Co, is split into half (Co/2) and shunted to ground at the input and output, respectively. The capacitor Cop models the feedthrough capacitance between the input and output electrodes. Figure 13.14d shows a transmission spectrum (vo/vi) of a 156 MHz resonator obtained via the two-port technique. From the measured results, the equivalent circuit model parameters are extracted and found to be Rx = 99.9 kΩ, Lx = 945.4 mH, and Cx = 1.098 aF. This test setup can also be used for testing other types of two-port resonators.

RF MEMS disk resonator in one-port configuration [Clark 2005]: (a) schematic of the dark resonator, (b) test setup, (c) measured transmission spectrum, and (d) equivalent circuit model.

Figure 13.14. RF MEMS disk resonator in one-port configuration [Clark 2005]: (a) schematic of the dark resonator, (b) test setup, (c) measured transmission spectrum, and (d) equivalent circuit model.

Optical MEMS Devices

The integration of microoptics and MEMS has created a new class of devices, termed optical MEMS or micro-opto-electromechanical systems (MOEMS). The advantages of optical MEMS devices include high functionality, high performance, and low-cost. This section briefly discusses the testing of piston micromirrors and tilt micromirrors.

A typical piston micromirror consists of a mirror segment supported by four springs and is capable of movement in the direction normal (i.e., vertical) to the mirror surface. Arrays of piston micromirrors are employed in adaptive optics to compensate for variable optical aberrations. For a known operating wavelength of incident light, the phase shift obtained from each micromirror depends on the vertical displacement. The two important characteristics of interest are (1) static characteristics (i.e., vertical displacement versus applied voltage characteristics) and (2) dynamic characteristics (i.e., transient response). The deflection versus applied voltage characteristics can be obtained by measuring the optical profile of the micromirror for various applied voltages. The optical profile of an array of 248μm × 248μm gold mirrors on polysilicon plates fabricated using the MUMPS process is shown in Figure 13.15 [Tuantranont 2000]. Dynamic characteristics of piston mirrors can be measured using laser Doppler vibrometers.

Piston micromirror [Tuantranont 2000]: (a) gold micromirrors on polysilicon plates fabricated using MUMPS process and (b) optical profile measured using Zygo interferometer.

Figure 13.15. Piston micromirror [Tuantranont 2000]: (a) gold micromirrors on polysilicon plates fabricated using MUMPS process and (b) optical profile measured using Zygo interferometer.

A typical tilt micromirror consists of a flat mirror segment supported by two torsional springs. Tilt micromirrors change the angle of reflection of incident light by angular or torsional rotation of micromirror structures. The tilt micromirror is a critical component in an optical scanning system, which is used to deflect the beam of light in different directions and detection of the reflected beam to determine spatial information. The two important characteristics of interest are (1) static characteristics (i.e., tilt angle versus applied voltage characteristics) and (2) dynamic characteristics (i.e., transient response). To measure the tilt angle versus applied voltage characteristics, a laser beam is directed on the mirror surface while the reflection of the laser beam off the mirror surface is projected onto a screen mounted vertically and parallel to the scanner’s chip surface. The screen is spaced from the mirror surface at an arbitrary distance, d. In the unactuated state, the mirror displays a circular spot (typically a few millimeters in diameter) on the screen. When the mirror is actuated, the mirror surface is tilted at an angle and therefore the spot location is shifted on the screen. The tilt angle can be calculated using the displacement of the spot on the screen and the distance of separation, d, between the mirror and the screen [Motamedi 2005]. The dynamic characteristics of tilt mirrors can be measured using laser Doppler vibrometers.

As an example, dynamic characteristics of an Applied MEMS Durascan two-axis tilt mirror measured using Polytec’s Laser Doppler vibrometer are shown in Figure 13.16 [Polytec 2005]. Dynamic parameters such as switching time and settling times can be obtained from these results. The tilt mirror–based digital micromirror device (DMD) developed by Texas Instruments (TI) has made tremendous progress in both performance and reliability since it was first invented in 1987. During the development stage, TI spent considerable effort to perform failure analysis of DMDs for various stresses. The key failure mechanisms affecting the reliability of the DMD were identified to be hinge memory, hinge fatigue, stiction, and environmental robustness including shock and vibration failures. After the elimination of various failure modes using clever solutions, DMD has become one of the flagship MEMS technologies. The DMD is now providing high brightness, high contrast, and high reliability in millions of projectors using digital light processing (DLP) technology [Douglass 2003].

Two-axis tilt mirror: (a) Applied MEMS Durascan tilt mirror and (b) two-axis tilt mirror.

(Courtesy of Polytec.)

Figure 13.16. Two-axis tilt mirror: (a) Applied MEMS Durascan tilt mirror and (b) two-axis tilt mirror.

Fluidic MEMS Devices

Fluidic MEMS devices are micromachines that respond to or interact with fluids. The fluid can be in liquid or gaseous state. Many liquid microfluidic devices consist of miniature plumbing systems, whereas gaseous microfluidic devices generally possess microstuctures that either affect or are affected by interaction with gasses in the surrounding environment.

Microfabrication techniques can be utilized to realize miniature plumbing systems for transporting and processing fluids, primarily liquids. Standard Si-based MEMS fabrication technologies, such as DRIE etching, anisotropic wet etching, and anodic bonding, as well as non-Si-based fabrication technologies such as polymethyl methacrylate (PMMA) and low temperature co-fired ceramic (LTCC), can be utilized to fabricate microchannels and cavities. Using these fabrication processes, microscale flow channels, reaction chambers, heaters, pumps, and valves have been successfully created. Researchers have realized complete microfluidic systems, such as fuel cells [Sakaue 2005], thermal management systems [Shi 2006], tunable die lasers [Bilenberg 2003], and biochemical analysis systems [Choi 2001].

The procedures for testing microfluidic MEMS devices can be quite different from the procedures used to test MEMS devices such as electrostatic actuators, accelerometers, and RF switches. Some types of microfluidic devices utilize a working fluid that is sealed in the device during manufacturing. Testing of these types of devices may be limited to leak testing after manufacture and functional testing. Other types of microfluidic devices only have a fluid inserted into them during actual operation. If this type of device is reusable, then a test fluid can be inserted into the device for evaluation of the device performance. However, if the device is for one-time-only use, then this type of testing is not possible.

A relatively new device that is finding application in liquid microfluidic devices is the flowFET [Kerkhoff 2003b]. This fluidic device is analogous to a FET transistor, except that fluid flow replaces electric current flow. These devices operate using the principle of electro-osmotic flow. In microchannels, the inner surface is naturally electrically charged, which results in the buildup of a thin charged layer in the fluid along the channel walls. By inserting two electrodes, referred to as the Source and Drain electrodes, along one wall of the microchannel and applying a sufficiently large voltage across them, the resulting electric field will move the ions in the fluid, which drags the fluid along with them. A third electrode can be added in between the first two electrodes but on the other side of the microchannel. This electrode acts as the flowFET Gate electrode by controlling the fluidic flow when a suitably large voltage is applied between it and the Source electrode. The achievable fluid velocity is also dependent on the chemical properties of the liquid, such as the PH. The magnitude of the voltage level required to operate the flowFET is typically between 0 V and 100 V, which is compatible with high voltage MOSFET technologies, such as DMOS. Conventional low-voltage electronic technologies such as CMOS can interface to the DMOS transistors that drive the flowFETs. By utilizing this technology, microfluidic devices and systems can be electrically controlled as well as stimulated to implement functional testing algorithms [Kerkhoff 2003a]. For example, by integrating suitable sensors into the microfluidic device or system, the flowFETs could be used to evaluate flow velocity or to test for clogged channels in the device or system.

MEMS Pressure Sensor

Pressure sensors are one of the most successful MEMS devices with a wide range of applications in automotive systems, industrial process control, environmental monitoring, medical diagnostics, and monitoring. A MEMS pressure sensor consists of a mechanical membrane present at the interface between a sealed cavity and the external environment. The pressure difference between the sealed cavity and the surrounding environment causes the diaphragm to deflect. The membrane deflection is converted to a change in the output voltage of the sensor by capacitance or piezoelectric transduction. Pressure sensors are characterized by measuring the output response for various applied pressures. From these results, parameters such as sensitivity (mV/Pa) and linearity can be obtained. Pressure sensors can be tested and characterized using commercially available pressure chambers (see Section 13.3.6). Here, we briefly discuss a custom-made pressure chamber developed for characterizing pressure sensors. The measurement setup for testing capacitive pressure sensors is shown in Figure 13.17 [Palasagaram 2006]. The setup consists of two components: (1) a custom-made pressure chamber, which can withstand large pressures, and (2) the signal conditioning circuitry. The pressure chamber is made of Teflon with dimensions of 9.5″ × 8.5″ × 3″. It has a pressure inlet on one side and a safety valve connected to a hole on the other side. A pressure gauge is used to monitor the pressure inside the chamber. The pressure sensor is placed inside the chamber. When the pressure inside the chamber exceeds the atmospheric pressure, the movable diaphragm starts deflecting downward, thereby increasing the capacitance between the top and bottom electrodes. The signal conditioning board (MS3110BDPC from Microsensors Inc.) outputs a voltage corresponding to a change in the sensor capacitance.

Experimental setup used for characterizing pressure sensors [palasagaram 2006].

Figure 13.17. Experimental setup used for characterizing pressure sensors [palasagaram 2006].

MEMS Humidity Sensor

Many types of micromachined devices make use of hermetically sealed structures, either as the package into which a MEMS device is hermetically sealed or as sealed microcavities directly on a substrate. In either case, it is necessary to evaluate the quality of the hermetic seal. A convenient method for doing this is to integrate a MEMS humidity sensor into the sealed cavity, where the cavity is either sealed in high vacuum or backfilled with a dry gas such as nitrogen. The humidity sensor is then monitored to measure the relative humidity inside the sealed cavity in order to detect if moisture has leaked into the cavity. The sealed test specimen can be put through a series of tests, such as thermal cycling or submersion in boiling water, and then the output from the humidity sensor can be probed to see if the internal relative humidity level has increased.

Consider the Hygrometrix HMX2000 MEMS humidity sensor, a commercially available or commercial-off-the-shelf (COTS) silicon MEMS device. Front and backside photographs of an unpackaged HMX2000 die are presented in Figure 13.18 [Dean 2005a]. The HMX2000 is a micromachined piezoresistive device approximately 2-mm square and consisting of four thin cantilever silicon beams that protrude into a center flow channel. Each beam has been fabricated as a piezoresistor, where the electrical resistance is proportional to the mechanical strain experienced by the beam. Four beams have been fabricated and electrically connected to realize a Wheatstone bridge. The beams are coated with a polymeric material that absorbs or desorbs moisture from the ambient atmosphere in proportion to the amount of moisture present. As moisture is absorbed or desorbed, the volume of the polymeric coating changes, straining the thin cantilevered beams and changing the resistance of the piezoresistors. Two of the four beams are constructed to increase in resistance with increasing humidity, whereas the other two decrease. The result is a resistive bridge with a differential resistance proportional to the relative humidity of the ambient atmosphere. Some versions of the device have a solid glass plate attached to the backside of the die, whereas others allow airflow through the device. The HMX2000 sensors can be purchased as bare dies or as packaged devices. Because the HMX2000 does not have on-chip interface electronics, external electronics need to be assembled to utilize the device.

Front and backside photographs of a HMX2000 MEMS humidity sensor [Dean 2005a].

Figure 13.18. Front and backside photographs of a HMX2000 MEMS humidity sensor [Dean 2005a].

The bare die version of the HMX2000 is small enough to be integrated into most packages and even many sealed micromachined cavities. The sensor requires four electrical traces to measure the relative humidity, and these have to be designed into the sealed cavity or package under investigation. The interface electronics required to operate the HMX2000 can reside on a PCB a short distance away from the sealed package. Because each piezoresistor on the sensor has a nominal resistance of approximately 4.3 kΩ, it is important to keep the cable connecting the sensor to the interface circuit as short as is reasonably possible to minimize noise on the sensor signals from capacitive coupling to the signal traces in the cable.

After the sensor has been sealed inside the cavity, the output of the humidity sensor should be measured, if possible, while the unit is still inside the sealing chamber at the same pressure and gas chemistry as the inside of the sealed cavity, in order to obtain a baseline humidity sensor reading for later comparison. Also, if the temperature of the sealing chamber can be adjusted, it is worthwhile to take a series of sensor readings at various temperatures to characterize the humidity sensor inside the sealed package with regard to temperature. The output of the HMX2000 humidity sensor embedded in a hermetically sealed cavity may change as a function of temperature because of a temperature dependence but also from absorbed moisture being liberated from the inside of the sealed cavity as a result of an increase in temperature. Therefore, it is crucial to characterize the embedded humidity sensor before removing the unit from the sealing chamber.

Once the sealed cavity with the embedded and characterized humidity sensor has been removed from the sealing chamber, a variety of tests can be performed. A temperature sensor should be placed in close proximity to the sealed cavity so that the temperature of the sealed cavity can be recorded at the same time that the output of the humidity sensor is recorded. An example temperature sensor that could be used for this purpose is a thermocouple attached to the outside of the sealed cavity with Kapton tape. Also, the placement of a second HMX2000 humidity sensor, packaged so that it is in direct contact with the environment, will allow the ambient relative humidity level outside of the sealed cavity to be measured. If the sealed cavity leaks, the relative humidity inside the cavity will approach the level of the relative humidity outside the cavity over time, and having both a humidity sensor inside the sealed cavity and one outside the sealed cavity will verify the leak.

The simplest test is to place the sealed cavity at atmospheric conditions for a period of time and record the temperature and humidity sensor output at selected time intervals. If the relative humidity inside the sealed cavity approaches the relative humidity outside of the package during this test, the results indicate that there was a failure in the sealing process. Additional tests can include thermal cycling, high-temperature or low-temperature storage, thermal shock, vibration, mechanical shock, and submersion in boiling water, as well as combinations of these tests. If the relative humidity inside the sealed cavity did not change while the sealed cavity was left at ambient conditions for a reasonably long period of time, but it did change during any of these subsequent tests, it is likely that the subsequent test or tests caused the cavity sealing mechanism to fail, which can indicate either a problem during the sealing process or a possible failure mechanism for the sealing technique.

It should be noted that during any type of testing, it is inadequate to evaluate only a single device. A reasonable number of devices should be evaluated to obtain representative characteristics of the device type. For example, if 5% of the devices in a particular sensor model were faulty, and only five devices were tested, it is possible that all the devices tested would be good devices, leading to the erroneous conclusion that all devices of this model are good. In addition to determining the percentage of good or bad parts of a particular model, most devices have some performance variation differences between otherwise identical units, and evaluating a reasonable number of units yields the statistical performance variations of that particular model.

Dynamic MEMS Devices

Dynamic MEMS devices are micromachines that possess one or more members that respond to an applied force by acceleration, resulting in mechanical motion. The applied force could be internally generated, such as the force resulting from a microactuator, or externally generated, such as the force resulting from interaction with the environment. A number of MEMS sensors can be accurately described as dynamic MEMS devices, including microphones, accelerometers, and gyroscopes.

MEMS Microphone

MEMS microphones have been successfully commercialized for use in cell phones, cameras, PDAs, and other high-volume consumer electronics [Loeppert 2006]. The microphones are characterized by measuring sensitivity, frequency response, and noise. The sensitivity (mV/Pa) is obtained by exciting the microphone at a chosen sinusoidal sound pressure level (SPL) and measuring the output voltage of the microphone for various DC bias voltages. The frequency response is obtained by exciting the microphone with a periodic noise over the desired operating frequency range and measuring the sensitivity of the microphone. The relative gain and resonance frequency can be obtained from the frequency response characteristics. The noise measurements are performed by measuring the frequency response of the microphone in an anechoic chamber.

A typical test setup for acoustical test and characterization of the integrated microphone is illustrated in Figure 13.19 [Pedersen 1998]. The instrumentation includes a signal analyzer and amplifier. The reference microphone, MEMS microphone, and test speaker are located inside the anechoic chamber. The dimensions of the chamber are chosen such that standing waves are avoided in the frequency range of interest. The inside of the chamber is covered with sound absorbing material to minimize the influence of reflections as well as external noise. This results in an approximate free sound-pressure field. The loudspeaker is driven by a dynamic signal analyzer, which uses a reference microphone in a feedback loop to maintain the output of the loudspeaker at a specified level in the frequency range of interest. The amplifier is used to boost the signal output from the reference microphone. An example frequency response of the Knowles SiSonic MEMS microphone [Loeppert 2006] is shown in Figure 13.20.

Measurement setup for acoustical characterization of MEMS microphone.

Figure 13.19. Measurement setup for acoustical characterization of MEMS microphone.

Knowles SiSonicTM MEMS microphone [Loeppert 2006]: (a) microphone die with a CMOS die in an acoustic housing and (b) measured frequency response with and without a lid.

Figure 13.20. Knowles SiSonicTM MEMS microphone [Loeppert 2006]: (a) microphone die with a CMOS die in an acoustic housing and (b) measured frequency response with and without a lid.

MEMS Accelerometer

MEMS accelerometers are one of the most widely used types of MEMS devices that are commercially available. They utilize, in some form, the relative motion between an inertial mass and a surrounding structure to detect or measure an external acceleration that has been applied to the surrounding structure. A common application for MEMS accelerometers is the detection of an automobile crash in order to engage the inflation of the passenger restraint safety airbags.

Table 13.1. Angular Rates Required to Achieve the Desired Acceleration Test Plan

Acceleration (Gs)

Angular Rate (rpm)

0

0

0.5

105.69

1.0

149.47

1.5

183.06

2.0

211.38

2.5

236.33

3.0

258.89

3.5

279.63

4.0

298.94

4.5

317.07

5.0

334.23

Suppose one desires to test a ±5G packaged accelerometer in 0.5G increments. One way to accomplish this is to mount the accelerometer on a small PCB and then attach the PCB onto a small aluminum block that can be fastened to the surface of a rate table where the sensitive axis of the accelerometer is radially aligned toward the center of rotation. The accelerometer power and output signal traces are connected through the rate table slip ring assembly. When the rate table is spinning, the accelerometer will experience acceleration because of the centripetal force. The direction of applied acceleration can be changed by rotating the aluminum block, onto which the accelerometer PCB is mounted, 180° so that the sensitive axis of the accelerometer is radially aligned away from the center of rotation. Suppose that the accelerometer is mounted such that the distance between the proof mass and the center of rotation is 4 cm. Then a table of required rate table angular rates can be generated using Equation (13.9) to accomplish the desired test plan, as illustrated in Table 13.1.

Some accelerometers may have BIST features that allow the user to apply a signal to the device that causes the accelerometer proof mass to be displaced a certain distance to simulate the effect of a particular acceleration on the device. The BIST feature is generally used to verify that a fielded device is still functioning correctly by applying an artificial stimulus and detecting the response of the sensor. For accelerometers with this feature, however, the effects of other types of testing, such as thermal cycling and vibration, along with an applied acceleration can be estimated for the device by utilizing the BIST feature while the other stimulus is being applied to the device.

MEMS Gyroscope

A gyroscope detects the presence of rotational motion about a predefined axis. Most MEMS gyroscopic sensors operate by suspending a miniature proof mass with a microfabricated spring system. Some type of MEMS actuator is used to oscillate the proof mass structure along one axis in a controlled manner. Electrostatic parallel plate actuators, electrostatic comb drive actuators, and piezoelectric actuators have all been used for this purpose. If the sensor experiences a rotation about an orthogonal axis, the resulting Coriolis force causes the proof mass to experience sinusoidal motion along the third orthogonal axis [Bao 2000]. This motion is then detected to yield the angular rate information. Capacitive and piezoresistive measurement techniques have been used in various MEMS gyroscope designs to detect this motion.

A MEMS gyroscopic sensor can be characterized using a rate table by subjecting it to a series of angular rates, recording the output signal and comparing the results to the angular rates. The output signal from a MEMS gyroscope usually has a DC bias offset that needs to be measured and subtracted from the output signal to obtain the rate measurement. Furthermore, the DC offset may be strongly dependent on temperature, and some MEMS gyroscopes integrate a temperature sensor into the device for use in correcting for the temperature induced drift. Another parameter of interest is the noise level on the output signal. This can be investigated by running a series of tests at different fixed angular rates and computing the standard deviation on the recorded data for each angular rate, which is proportional to the noise level. However, it is important that the angular rate is constant, as the gyroscope will detect any “noise” in the rate table angular rate.

Because the microstructure is designed to resonate at a particular frequency and often has a high mechanical quality factor, the sensor can be susceptible to external vibrations present in the operating environment at or near that frequency. Therefore, the resonating frequency is designed to be well above the detection bandwidth of the sensor. An electromechanical shaker can be used to evaluate the susceptibility of a MEMS gyroscope to external mechanical vibrations, one axis at a time. Because different types of MEMS gyroscopic sensors resonate at different frequencies, one will need to obtain the designed internal resonating frequency of a particular MEMS gyroscope so that an electromechanical shaker can be selected that operates at that frequency. Consider the Analog Devices’ ADXRS300 MEMS gyroscope that internally oscillates at approximately 14 KHz. To thoroughly evaluate the susceptibility of this MEMS gyroscope to mechanical vibrations, an electromechanical shaker with a bandwidth of 20 KHz is recommended. The packaged ADXRS300 should be mounted on a small PCB with all appropriate interface electronics (power/signal connector, decoupling capacitors, output signal buffer, etc.) and firmly attached to a rigid fixture, such as a small aluminum block, that can be attached to the shaker head. Attaching the PCB to the aluminum block with an adhesive such as glue or an epoxy will minimize vibrational resonances in the PCB that could adversely affect the test. The gyroscope is designed to measure the rotation rate about a particular axis relative to the package, and the device data sheet will indicate the direction of this axis relative to the package. The aluminum block, onto which the PCB containing the MEMS gyroscope is attached, should be machined so that it can be attached to the shaker head in three different orientations so that the gyroscope can be independently shaken along each axis. This allows the susceptibility of the sensor to external vibrations to be evaluated along each axis.

Shaker testing is then performed for each axis, either with a wide bandwidth excitation, a series of several small bandwidth excitations with different center frequencies, or with a swept sinewave excitation covering the desired frequency range. There should be a noticeable increase in the noise floor of the angular rate output signal when the sensor is vibrated close to its resonating frequency. Notice that these tests are performed while the sensor is not experiencing an angular rotation, because the electromechanical shaker cannot rotate and shake the sensor under evaluation. However, consider the Analog Devices’ ADXRS300 angular rate sensor, which has a BIST feature that allows two external signals to be applied to the device to cause the internal microstructure to experience the same response as it would to angular rates of +55°/s and –55°/s, respectively [Weinberg 2005]. Therefore, by utilizing these BIST features, the shaker can be used to investigate the effects of external vibrations on the ADXRS300 at angular rates of –55°/s, 0°/s and +55°/s.

Micromachined structures can be utilized to realize miniature passive vibration isolation platforms, consisting of a frame, a spring structure, and a proof mass pad, which can be used to isolate a MEMS gyroscope die from high-frequency vibrations present in the operating environment. A photograph of a micromachined vibration isolation platform that is designed to isolate a MEMS gyroscope from external z-axis high frequency vibrations present in the operating environment is presented in Figure 13.21, where the z-axis is in a direction normal to the plane of the device [Dean 2005b]. The MEMS gyroscope die is attached to the center proof mass pad and wirebonded to pads along the periphery of the center proof mass pad. Eight micromachined springs connect the center proof mass pad to a surrounding frame. Electrical traces run along the top of each spring to connect the wirebond pads on the center proof mass pad to wirebond pads on the frame. The entire device can be mounted inside a standard integrated circuit package, with wirebonds made between the package and the pads on the frame. The frame is attached to a spacer, which is attached to the bottom of the package, so that the proof mass pad can move vertically with respect to the bottom of the package without making contact. The thickness of the spacer is chosen to ensure that contact between the proof mass pad and the bottom of the package does not occur in the intended operating environment, as contact could damage the fragile micromachined device.

Photograph of a micromachined vibration isolation platform with an attached MEMS gyroscope die [Dean 2005b].

Figure 13.21. Photograph of a micromachined vibration isolation platform with an attached MEMS gyroscope die [Dean 2005b].

To evaluate the isolator, a machined plastic housing is used to hold the isolator frame so that the proof mass pad can move vertically. The plastic housing is attached to the shaker head of an electromagnetic shaker so that the device can be excited with a wide bandwidth vibration excitation. Laser interferometric displacement measurement units are used to measure the motion of the frame and the proof mass pad. Then the relative motion between the frame and the proof mass pad is calculated as a function of vibration frequency to obtain the transmissibility of the device. A plot of the measured transmissibility is presented in Figure 13.22. Observe that the isolator has a low pass response with a resonant frequency of approximately 885 Hz and a quality factor of approximately 20.

Plot of the measured frequency response of the micromachined vibration isolation platform [Dean 2005b].

Figure 13.22. Plot of the measured frequency response of the micromachined vibration isolation platform [Dean 2005b].

Testing Digital Microfluidic Biochips

Many commercially available biochips are based on continuous fluid, permanently etched microchannels [Schasfoort 1999] [Verpoorte 2003] [Zeng 2004]. Fluid flow in these devices is controlled either using micropumps and microvalves or by electrical methods based on electrokinetics and electroosmosis [2]. FlowFETs, where electroosmostic fluid flow is controlled by a gate electrode similar to the behavior of a MOSFET, have also been proposed [Schasfoort 1999].

An alternative category of microfluidic biochips, referred to as digital microfluidics, relies on the principle of electrowetting-on-dielectric [Cho 2003] [Fair 2003] [Chatterjee 2006]. Bioassay protocols are scaled down (in terms of liquid volumes and assay times) and run on a microfluidic chip by manipulating discrete droplets of nanoliter volume using a patterned array of electrodes. By reducing the rate of sample and reagent consumption, digital microfluidic biochips enable continuous sampling and analysis for online, real-time, chemical and biological analysis. These systems also have dynamic reconfigurability, whereby microfluidic modules can be relocated to other places on the electrode array, without affecting the functionality, during the concurrent execution of a set of bioassays. Reconfigurability enables the design of multifunctional and “smart” microfluidic biochips that can be used for a wide variety of applications. Moreover, defects can be tolerated through system reconfiguration after testing and fault diagnosis.

As chemists and biologists map more bioassays on a microfluidic platform for concurrent execution, system complexity and integration levels are expected to increase steadily. However, as in the case of ICs, an increase in density and area of microfluidics-based biochips will reduce yield, especially for newer technologies. Moreover, to reduce the cost for disposable devices, device manufacturers are investigating inexpensive processes and materials for low-cost biochip fabrication. As a result, microfluidic biochips are likely to suffer from high defect densities.

Dependability is an important system attribute for biochips that are used for safety-critical applications such as point-of care diagnostics, health assessment and screening for infectious diseases, air-quality monitoring, and food-safety tests, as well as for pharmacological procedures for drug design and discovery that require high precision levels. Some manufacturing defects may be latent and produce errors during field operation. In addition, harsh operational environments and biological samples (e.g., proteins) may introduce physical defects such as particle contamination and residue on surfaces as a result of adsorption. Therefore, biochip platforms must be adequately tested after manufacturing, before the start of a bioassay, and during bioassay execution. Moreover, because disposable biochips are being targeted for a highly competitive and low-cost market segment, test and diagnosis methods should be inexpensive, quick, and effective.

Overview of Digital Microfluidic Biochips

A digital microfluidic biochip utilizes the phenomenon of electrowetting to manipulate and move microliter or nanoliter droplets containing biological samples on a two-dimensional electrode array [Fair 2003]. A unit cell in the array includes a pair of electrodes that acts as two parallel plates. The bottom plate contains a patterned array of individually controlled electrodes, and the top plate is coated with a continuous ground electrode. A droplet rests on a hydrophobic surface over an electrode, as shown in Figure 13.23. It is moved by applying a control voltage to an electrode adjacent to the droplet and, at the same time, deactivating the electrode just under the droplet. This electronic method of wettability control creates interfacial tension gradients that move the droplets to the charged electrode. Using the electrowetting phenomenon, droplets can be moved to any location on a two-dimensional array.

Fabricated digital microfluidic arrays.

Figure 13.23. Fabricated digital microfluidic arrays.

By varying the patterns of control voltage activation, many fluid-handling operations, such as droplet merging, splitting, mixing, and dispensing, can be executed in a similar manner. For example, mixing can be performed by routing two droplets to the same location and then turning them about some pivot points. The digital microfluidic platform offers the additional advantage of flexibility, referred to as reconfigurability, because fluidic operations can be performed anywhere on the array. Droplet routes and operation scheduling results are programmed into a microcontroller that drives electrodes in the array. In addition to electrodes, optical detectors such as LEDs and photodiodes are also integrated in digital microfluidic arrays to monitor colorimetric bioassays [Fair 2003].

Fault Modeling

Like microelectronic circuits, a defective microfluidic biochip is said to have a failure if its operation does not match its specified behavior. To facilitate the detection of defects, fault models that efficiently represent the effect of physical defects at some level of abstraction are required. These models can be used to capture the effect of physical defects that produce incorrect behaviors in the electrical or fluidic domain. As described in [Su 2003], faults in digital microfluidic systems can be classified as being either catastrophic or parametric. Catastrophic faults lead to a complete malfunction of the system, whereas parametric faults cause degradation in the system performance. A parametric fault is detectable only if this deviation exceeds the tolerance in system performance.

Table 13.2 lists some common failure sources, defects, and the corresponding fault models for catastrophic faults in digital microfluidic biochips. Catastrophic faults may be caused by a number of physical defects, including the following:

  • Dielectric breakdown. The breakdown of the dielectric at high voltage levels creates a short between the droplet and the electrode. When this happens, the droplet undergoes electrolysis, thereby preventing further transportation.

  • Short between the adjacent electrodes. If a short occurs between two adjacent electrodes, the two electrodes effectively form one longer electrode. When a droplet resides on this electrode, it is no longer large enough to overlap the gap between adjacent electrodes. As a result, the droplet can no longer be actuated.

  • Degradation of the insulator. This degradation effect is unpredictable and may become apparent gradually during the operation of the microfluidic system. A consequence is that droplets often fragment and their motion is prevented because of the unwanted variation of surface tension forces along their flow path.

  • Open in the metal connection between the electrode and the control source. This defect results in a failure in activating the electrode for transport.

Examples of some common parametric faults include the following:

  • Geometrical parameter deviation. The deviation in insulator thickness, electrode length, and height between parallel plates may exceed their tolerance value.

  • Change in viscosity of droplet and filler medium. These can occur during operation because of an unexpected biochemical reaction or changes in the operational environment (e.g., temperature variation).

Test Techniques

An excellent survey on the testing of microfluidic biochips is presented in [Kerkhoff 2007]. A unified test methodology for digital microfluidic biochips has been implemented, whereby faults can be detected by controlling and tracking droplet motion electrically [Su 2003, 2004]. Test stimuli droplets containing a conductive fluid (e.g., KCL solution) are dispensed from the droplet source. These droplets are guided through the unit cells following the test plan toward the droplet sink, which is connected to an integrated capacitive detection circuit. Most catastrophic faults result in a complete cessation of droplet transportation. Therefore, we can determine the fault-free or faulty status of the system by simply observing the arrival of test stimuli droplets at selected ports. An efficient test plan ensures that testing does not conflict with the normal bioassay, and it guides test stimuli droplets to cover all the unit cells available for testing. The microfluidic array can be modeled as an undirected graph, and the pathway for the test droplet can be determined by solving the Hamiltonian path problem [Su 2004]. With negligible hardware overhead, this method also offers an opportunity to implement BIST for microfluidic systems and therefore eliminates the need for costly, bulky, and expensive external test equipment. Furthermore, after detection, droplet flow paths for bioassays can be reconfigured dynamically so that faulty unit cells are bypassed without interrupting the normal operation.

Even though most catastrophic faults lead to a complete cessation of droplet transportation, differences exist between their corresponding erroneous behaviors. For instance, to test for the electrode-open fault, it is sufficient to move a test droplet from any adjacent cell to the faulty cell. The droplet will always be stuck during its motion because of the failure in charging the control electrode. On the other hand, if we move a test droplet across the faulty cells affected by an electrode-short fault, the test droplet may or may not be stuck depending on its flow direction. Therefore, to detect such faults, it is not enough to solve only the Hamiltonian path problem. In [Su 2005], the authors describe a solution based on Euler paths for detecting electrode shorts.

Table 13.2. List of Catastrophic Defects for Biochips

Cause of Defect

Defect Type

Number of Cells Involved

Fault Model

Observable Error

Excessive actuation voltage applied to an electrode

Dielectric breakdown

1

Droplet-electrode short (short between the droplet and the electrode)

Droplet undergoes electrolysis, which prevents its further transportation

Electrode actuation for excessive duration

Irreversible charge concentration on an electrode

1

Electrode-stuck-on (the electrode remains constantly activated)

Unintentional droplet operations or stuck droplets

Excessive mechanical force applied to the chip

Misalignment of parallel plates (electrodes and ground plane)

1

Pressure gradient (net static pressure in some direction)

Droplet transportation without activation voltage

Coating failure

Nonuniform dielectric layer

1

Dielectric islands (islands of Teflon coating)

Fragmentation of droplets and their motion is prevented

Abnormal metal layer deposition and etch variation during fabrication

Grounding failure

1

Floating droplets (droplet are not anchored)

Failure of droplet transportation

Abnormal metal layer deposition

Broken wire to control source

1

Electrode open (electrode actuation is not possible)

Failure to activate the electrode for droplet transportation

Abnormal metal layer deposition

Metal connection between two adjacent electrodes

2

Electrode short (short between electrodes)

A droplet resides in the middle of the two shorted electrodes, and its transport along one or more directions cannot be achieved

Particle contamination or liquid residue

A particle that connects two adjacent electrodes

2

Electrode short

A droplet resides in the middle of the two shorted electrodes

Protein absorption during a bioassay

Sample residue on electrode surface

1

Resistive open at electrode

Droplet transportation is impeded

   

Contamination

Assay results are outside the range of possible outcomes

Despite its effectiveness for detecting electrode shorts, testing based on an Euler path suffers from long test application time. This approach uses only one droplet to traverse the complete microfluidic array, irrespective of the array size. Fault diagnosis is carried out by using multiple test application steps and adaptive Euler paths. Such a diagnosis method is inefficient because defect-free cells are tested multiple times. Moreover, the test method leads to a test plan that is specific to a target biochip. If the array dimensions are changed, the test plan must be completely altered. In addition, to facilitate chip testing in the field, test plans need to be programmed into a microcontroller. However, the hardware implementations of test plans from [Su 2005] are expensive, especially for low-cost, disposable biochips.

More recently, a cost-effective test methodology referred to as “parallel scan-like test” and a rapid diagnosis method based on test outcomes have been proposed for droplet-based microfluidic devices [Xu 2007]. The method is named thus because it manipulates multiple test droplets in parallel to traverse the target microfluidic array, just as test stimuli can be loaded in parallel to multiple scan chains in ICs. This approach allows testing using parallel droplet pathways in both online and offline scenarios. The diagnosis outcome can be used to reconfigure a droplet-based biochip such that faults can be easily avoided.

We first describe the special case of a single test droplet. We determine the pathway for the test droplet, irrespective of the bioassay operation, as shown in Figure 13.24. Starting from the droplet source, the test droplet follows the pathway to traverse every cell in the array, and it finally reaches the sink. During concurrent testing, a test droplet is guided to visit the available cells in accordance with a predetermined path. If the target cell is temporarily unavailable for testing (i.e., it is occupied by a droplet or it is adjacent to active microfluidic modules), the test droplet waits in the current position until the target cell becomes available. The test outcome is read out using a capacitive sensing circuit connected to the electrode for the sink reservoir. This single-droplet, scan-like algorithm is easy to implement. Moreover, the test plan is general, in the sense that it can be applied to any microfluidic array and for various bioassay operations.

Illustration of a single droplet scan-like test using a single droplet.

Figure 13.24. Illustration of a single droplet scan-like test using a single droplet.

Scan-like tests can also be carried out in parallel using multiple droplets. Each column/row in the array is associated with a test droplet and its “target region.” A target region for a droplet includes the cells that are traversed by this droplet. Droplets are dispensed from the test droplet source to the start electrodes of their target regions. Because columns/rows are used as target regions, the start electrodes are located on the array boundary, as shown in Figure 13.25. For each target region, the start electrode acts as the test-droplet source for the underlying single-droplet scan-like method. Therefore, start electrodes are referred to as pseudo-sources. Starting from these pseudo-sources, test droplets are routed in parallel (similar to a waterfall in nature) to the electrodes at the other end of the corresponding target regions. These end points are referred to as pseudo-sinks. Finally, the test droplets are routed to the sink reservoir. It is assumed that a microfluidic array has only one source and one sink reservoir to facilitate chip packaging and reduce fabrication cost. Dispensed from the single source, test droplets are aligned one by one and routed in sequence, like components in an assembly line, along the periphery nodes to their pseudo-sources. The reverse process is carried out when the test droplets are routed from the pseudo-sink to the sink reservoir.

Example of target regions and pseudo-sources.

Figure 13.25. Example of target regions and pseudo-sources.

The complete parallel scan-like test procedure is as follows:

  1. Peripheral test: A test droplet is dispensed from the source. It is routed to traverse all the peripheral electrodes, and the droplet finally returns to the sink.

  2. Column test: Two iterations of parallel scan-like test with one column shift are carried out. This step tests every single cell and all “edges” (pairs of adjacent cells) in each column. Therefore, it is referred to as “column test.”

  3. Row test: Repeat parallel scan-like test (two iterations) for the rows to detect defects involving pairs of adjacent cells in each row. This step is referred to as “row test.”

Application to a Fabricated Biochip

The parallel scan-like test method has been applied to a fabricated biochip. The chip under test is a PCB microfluidic platform for DNA sequencing, as shown in Figure 13.26. The platform consists of a 7 × 7 array, eight reservoirs, and routing electrodes that connect reservoirs to the array. Nine cells are reserved for grounding, and they are not available for droplet transportation.

Fabricated biochip for DNA sequencing.

Figure 13.26. Fabricated biochip for DNA sequencing.

As a baseline, Euler-path-based testing was applied to this chip [Xu 2007]. The test procedure takes 57 seconds, assuming a (typical) 1-Hz electrode-actuation frequency. Next, a parallel scan-like test (the column-test stage is shown in Figure 13.27) was applied to this chip. Because nine electrodes are not reachable, it is not necessary to test even columns and rows. The test application procedure takes 46 seconds, again for a 1-Hz actuation frequency.

Column-test step of a parallel scan-like test.

Figure 13.27. Column-test step of a parallel scan-like test.

Next we investigate the time needed for fault diagnosis for the two methods. In [Xu 2007], a fabricated chip was used, which was known a priori to contain one defect. The chip with the defect is shown in Figure 13.28. For the Euler-path-based method, binary search was carried out to locate the defective cell. Seven iterations were needed, and the total diagnosis time was 173 seconds. This value was obtained by summing up the times for the different diagnosis iterations, which are 57, 44, 32, 16, 8, 4, and 2 seconds, respectively. On the other hand, parallel scan-like test can simply determine the defect site from testing readouts. No additional diagnosis steps are needed, and the diagnosis time is the same as the test time (i.e., 44 seconds), which corresponds to a 75% reduction compared to [Su 2005].

Parallel scan-like diagnosis of a single-cell defect.

Figure 13.28. Parallel scan-like diagnosis of a single-cell defect.

DFT and BIST for MEMS

Because of the diversity of MEMS devices and their working principles, universal design-for-testability (DFT) and built-in self-test (BIST) solutions for all types of MEMS devices do not exist yet! As a result, different DFT/BIST solutions are required for different types of MEMS. However, these solutions are crucial for mission-critical and safety-critical applications such as in the aerospace, automotive, and healthcare industries. In this section, we briefly review the DFT and BIST approaches that have been proposed and implemented for MEMS. We then present a number of MEMS BIST examples with actual implementations of BIST for accelerometers.

Overview of DFT and BIST Techniques

In [Puers 2002], a built-in shaker realized with electromagnetic microactuator was used to vibrate the accelerometer, and real acceleration input test stimuli are generated for self-test of the MEMS accelerometer. Although a certain level of self-test has been achieved, it is often inconvenient to generate real acceleration input test stimuli for MEMS devices. Generally, alternative test stimuli (electrical voltage, etc.) that are somewhat equivalent, but easier to generate, will be used for MEMS BIST. In ADXL series surface-micromachined comb accelerometers, electrostatic force generated by a self-test voltage is used to mimic the effect of input acceleration for in-field BIST [Analog 2007]. As shown in Figure 13.29, several outer comb finger groups are reserved for BIST implementation [Mir 2006]. In BIST mode, a self-test voltage is applied between left (or right) fixed testing fingers and movable fingers. This will introduce electrostatic force on the movable fingers toward the left (or right) direction, which is somewhat equivalent to the effect of an inertial force caused by input acceleration. The output response of the accelerometer is measured and compared to the good device behavior. If the difference is within a certain tolerance range, the device is considered as good; otherwise, the device is deemed faulty. Voltage-induced electrostatic force is easy to generate, and it is also compatible with BIST circuitry. Hence, it has been widely used for in-field BIST of MEMS accelerometers. In [Zimmermann 1995], online testing of surface-micromachined comb accelerometers for automobile airbag application based on electrostatic force activation was reported. Unlike using the conventional offline BIST techniques, the self-test operation of the accelerometers can be performed not only before engine ignition (offline) but also during driving (online). However, none of the preceding MEMS BIST techniques typically can be used to replace the traditional manufacturing test. The reason comes from the fact that considering the fabrication variations, the electrostatic force has to be calibrated first for each individual MEMS device before the device operates in self-test mode, and the calibration process requires the device to be thoroughly tested using external test equipment.

BIST of a surface-micromachined comb accelerometer using electrostatic force [Mir 2006].

Figure 13.29. BIST of a surface-micromachined comb accelerometer using electrostatic force [Mir 2006].

Besides acceleration, other input stimuli can also be induced (or mimicked) on-chip by electrical voltage/current for MEMS BIST. For example, in [Puers 2001], electrically-induced pneumatic actuation is used for the self-test of a piezoresistive pressure sensor, as shown in Figure 13.30 [Puers 2001]. During BIST, an electrical pulse voltage is applied to a resistor heater embedded in the cavity of the pressure sensor. The air inside the cavity is heated by the induced Joule heat, thereby increasing its pressure. The piezoresistive gauge in the membrane then senses the output response resulting from this input pressure change and compares it with the good device response.

Pneumatic actuation for self-test of a piezoresistive pressure sensor [Puers 2001].

Figure 13.30. Pneumatic actuation for self-test of a piezoresistive pressure sensor [Puers 2001].

In [Charlot 2001], the authors showed that electrically-induced test stimuli can be used for self-test of parallel-plate-capacitor, piezoresistive-micro-beam, and thermopile based sensors. As an example, electrically-induced resistor heating to mimic the thermal radiation input for BIST of a thermopile-based infrared imager pixel is demonstrated in Figure 13.31 [Mir 2006]. Each pixel of the imager consists of a suspended membrane supported by four beams. The temperature increase caused by the infrared light captured by the membrane is measured by the thermopiles on the support beams. Hence, the infrared image can be generated by an array of such pixels. Self-test is performed on each individual pixel by applying electrical voltage to the heating resistor on the suspended membrane, which will heat up the membrane as incident infrared radiation normally does. In [Cozma Lapadatu 1999], the authors demonstrated a self-test of a pressure sensor utilizing the electrically-induced bimetal effect.

Thermal actuation for self-test of an infrared imager pixel [Mir 2006].

Figure 13.31. Thermal actuation for self-test of an infrared imager pixel [Mir 2006].

In all of the above cases, electrical signals have been used to induce test stimuli in various energy domains for self-test of MEMS devices. Direct parameters (sensitivity, etc.) are effective to verify the device function. However, they are not always easy to measure. Oscillation-based test methodology (OTM) measuring indirect parameters was also demonstrated for a MEMS magnetometer [Beroulle 2002]. The electrically-induced Lorentz force in a magnetic field is used as test stimuli. The DUT is reconfigured into an oscillating device with a feedback circuit. Some indirect parameters such as the oscillation frequency and amplitude, which are easier to observe, are measured for testing the MEMS device.

Most MEMS devices have a certain degree of structure symmetry, such as left-right, top-bottom, or rotation symmetry. This has also been utilized to develop another structural BIST strategy, called symmetry testing. In [Rosing 2000a], symmetry BIST for a pressure sensor with internal redundancy was proposed. Based on the left-right symmetry of a device structure, the movable shuttle is activated twice by electrostatic comb driving first toward left and later toward right. The output responses from both activations are stored and compared with each other. Any difference indicates the existence of a local defect leading to a structure asymmetry of the device. In [Deb 2002], symmetry BIST for CMOS MEMS comb accelerometers was proposed where the movable shuttle of the accelerometer is divided into two conductors that are physically connected by an insulator layer while electrically insulated from each other. By comparing the voltage outputs from both conductors of the movable shuttle, the BIST technique can effectively detect structure asymmetry caused by local, hard-to-detect defects, such as bridges, finger height mismatch, and local etch variations. In [Deb 2004], this symmetry BIST technique was further extended to a more generalized model so it can be used to characterize a wide range of local manufacturing variations affecting different regions of the device. The proposed symmetry BIST techniques in [Deb 2002] and [Deb 2004] are applicable to MEMS devices in which the movable part is divided into two or more conductors physically connected by insulator layers. In [Xiong 2005a], the authors proposed another symmetry BIST technique that divides fixed instead of movable parts of symmetrical capacitive MEMS devices. This proposed symmetry BIST technique can be applied to MEMS devices such as ADXL accelerometers in which the movable parts are not divided. The preceding symmetry BIST techniques are discussed in detail in the next section.

In [Mir 2006], a pseudo-random MEMS BIST technique, illustrated in Figure 13.32, was proposed using bulk-micromachined cantilever. In BIST mode, voltage pulses are applied to a heating resistor on the cantilever. The cantilever deflects because of the induced heat, and the corresponding deflection is measured by a piezoresistor Wheatstone bridge at the anchor. Pseudo-random maximum-length sequences are generated by linear feedback shift registers (LFSRs). The output bridge voltage is converted by an A/D converter to digital values, which are then analyzed by a circuit computing the input-output cross-correlation function (CCF). Each simplified correlation cell in the CCF circuit computes an estimation of an impulse response sample. The estimated samples form the test signature that is compared on-chip with expected values for a Go/No-Go testing. This technique offers an on-chip BIST solution for MEMS devices using electrical pulse-like test signals.

Pseudo-random BIST of MEMS cantilevers [Mir 2006]: (a) MEMS cantilevers and (b) BIST architecture.

Figure 13.32. Pseudo-random BIST of MEMS cantilevers [Mir 2006]: (a) MEMS cantilevers and (b) BIST architecture.

MEMS BIST Examples

Accelerometers represent MEMS devices where BIST has been most widely used in industry. For example, products of the ADXL series from Analog Devices [Analog 2007], such as ADXL190 (single axis accelerometer), ADXL202E (dual-axis accelerometer), and ADXL330 (three-axis accelerometer), all implement BIST. When a voltage Vs activates the self-test pin, an electrostatic force is generated on the movable test fingers of the accelerometer. The force acting on the beam results in an approximately 20% (for ADXL190) of full-scale acceleration input, and a voltage change will be observed on the output pin. Thus, this BIST technique can be used for in-field test where external test equipment is unavailable. Because of its popularity, BIST for accelerometers is used in this section to discuss the basic working principle of MEMS BIST. Other research on BIST for accelerometers is also discussed.

As its counterparts in digital circuit BIST, MEMS BIST also requires circuitry integrated with the accelerometer for test stimulus application and output response analysis. Different BIST methods implemented for accelerometers differ on how the test stimuli are generated, and how the output responses are analyzed. Most BIST designs for accelerometers generate test stimuli using electrostatic [Terry 1989] [Zimmermann 1995] [Charlot 2001] [Deb 2002], thermal [Pourahmadi 1992] [Plaza 1998], piezoelectric [Spineanu 1997], and real acceleration [Puers 2002] inputs. A pseudo-random MEMS BIST method utilizing electrical pulse-like test signals was proposed in [Mir 2004] and [Dhayni 2006]. The test response with respect to the actuation is measured using a sensing circuit and compared with the expected response. This section focuses mainly on surface-micromachined comb accelerometers, because this type of accelerometers has been widely used in industry [Analog 2007] and the surface-micromachining process is compatible to the CMOS process for SOC designs (e.g., CMP of French Multiproject Wafer Service) [Castillejo 1998].

A typical surface-micromachined comb accelerometer is shown in Figure 13.33 [Kuehnel 1994]. The comb accelerometer is made of a thin layer of polysilicon on the top of a silicon substrate. The fixed portion of the device includes four anchors and many left and right fixed fingers. The movable portion of the MEMS device includes four tether beams, the central movable mass, and all movable fingers extruding out of the mass. The entire movable portion is floating above the substrate. As Figure 13.33 shows, the central movable mass is connected to the four anchors through four flexible beams. The movable fingers extrude from both sides of the central mass and can move together with it. There is a pair of fixed fingers around the left and right sides of each movable finger, which constitutes a differential capacitance pair c1 and c2, as shown in Figure 13.34. In the static state, each movable finger stays in the middle position between the left and right fixed fingers, and the capacitance gaps of both c1 and c2 are equal to d0. If we let C1 (C2) represent the sum of all c1 (c2) capacitances, then we have:

Equation 13.10. 

General design of a MEMS comb accelerometer [Kuehnel 1994].

Figure 13.33. General design of a MEMS comb accelerometer [Kuehnel 1994].

The schematic diagram of differential capacitance.

Figure 13.34. The schematic diagram of differential capacitance.

where nf is the total number of differential capacitance groups, ε0 is the dielectric constant of air, Lf is the length of each movable finger, Δ is the nonoverlapped length at the root of each movable finger, and h is the thickness of the device.

Assume the mass of both the central movable mass and all the movable fingers is Ms. If there is an acceleration, a, in a direction perpendicular to the beams and parallel to the device plane, the central mass will experience an inertial force. This will result in a certain amount of beam deflection along the direction of the inertial force, hence an equivalent amount of displacement of the central mass and the movable fingers. Thus, each capacitance gap will be changed accordingly, which leads to the change of corresponding capacitances.

As shown in Figure 13.34, the inertial force Fa = –Msa results in a deflection of the beams and a certain displacement x of movable fingers along the X direction. Assume the total spring constant of four beams as k. Displacement x is given by:

Equation 13.11. 

Given x<<d0, we have C1 and C2 changed to:

Equation 13.12. 

Equation 13.13. 

By sensing the capacitance changes of C1 and C2, we get the displacement x and, hence, the experienced acceleration. This is the working principle of a MEMS comb accelerometer.

Before we discuss BIST for accelerometers, fault modeling and simulation should be reviewed. This short survey is mainly based on the work done at Carnegie Mellon University (United States), Lancaster University (United Kingdom), and TIMA (France). The effect of realistic contaminations on the folded-flexure comb-resonator was studied in [Kolpekwar 1997] by fault simulation. The results demonstrated that realistic contaminations can result in many different fault behaviors. The fault analysis methodology was then developed as a tool called CARAMEL (contamination and reliability analysis of microelectromechanical layout) [Kolpekwar 1998a, 1999]. In CARAMEL, a defective MEMS structure is represented by a three-dimensional representation, which is then extracted to mesh netlist for mechanical simulation. A stiction fault model based on the ADXL75 accelerometer was studied in [Kolpekwar 1998b]. A Monte Carlo simulation of a particulate contamination fault model was discussed in [Jiang 2006] based on microresonator. Fault models of vertical stiction, foreign particles, and etch variation for resonators and accelerometers have been investigated in [Deb 2000], and the effects of these faults to resonant frequency have been identified.

The failure modes and effect analysis (FMEA) approach was proposed in [Rosing 2000a, 2000b]. This technique integrates qualitative failure analysis and quantitative fault simulation to generate a list of realistic faults for MEMS transducers. Industrial failure modes and sensor/actuator are analyzed and simulated by inductive fault analysis [Shen 1985] and finite element simulation. Analog and mixed-signals are also simulated using inductive fault analysis (for defect-related faults) and process variation analysis (for parametric faults). The faults are then described by a behavioral model for test purposes. The major faults identified include local defects, global and local parameters out of tolerance, wear, environmental hazards, problems resulting from imperfection in the design process, etc.

Instead of using the inductive fault analysis discussed earlier, in [Castillejo 1998] the fabrication process of MEMS is analyzed in detail to determine realistic defects or failure mechanisms. The failure mechanisms are divided into those that occurred during the CMOS process (e.g., wet oxidation, etching) and those that occurred during the micromachining process. In particular, contaminant particles and oxide residuals left in the fabrication of CMOS can greatly affect the naked silicon exposed for micromachining. Eventually, the defects can be classified into gauge (e.g., sensing circuits) faults and microstructure faults. Each class can be further divided into catastrophic faults (the circuit or structure is totally nonfunctional) and parametric faults (machine performance is changed). Gauge faults can be shorts and opens (catastrophic) or changes in width, length, and metal resistivity (parametric). Microstructure faults can be break-around-gauge, stiction, nonreleased microstructure, asymmetrical microstructure (catastrophic), or changes in Young’s modulus (parametric). The fault behaviors can be mapped to a physical MEMS device model (based on material and structural properties) for machine behavioral simulation.

A simplified comb accelerometer structure, which can implement BIST functions, is illustrated in Figure 13.35 where, for simplicity, only four groups of driving/sensing fingers are given. M1–M8 are movable fingers, Ms is the central mass, D1–D8 are fixed driving fingers, and S1–S8 are fixed sensing fingers. All beams are connected to the substrate through four anchors. Driving fingers are used to generate test stimuli using electrostatic force, and sensing fingers are used to sense the output voltage change resulting from capacitance change. For test stimulus generation, electrostatic force Fd is used to mimic the effect of inertial force. When voltage Vd is applied to the fixed driving fingers {D1, D3, D5, D7}, and nominal voltage Vnom is applied to Ms and {D2, D4, D6, D8}, an electrostatic force Fd toward the top direction will be experienced at the central movable mass Ms. The value of Fd can be represented by the following equation:

Equation 13.14. 

where S is the overlap area between {D1, D3, D5, D7} and {M1, M5, M4, M8}, and d is the capacitance gap between them. As a result, the movable mass is activated toward the top with displacement x = Fd/k during self-test.

Structural diagram of a comb accelerometer [Deb 2002].

Figure 13.35. Structural diagram of a comb accelerometer [Deb 2002].

To sense the displacement x of the movable mass in Figure 13.35 during normal operation, modulation voltage Vmp is applied to {S1, S3, S5, S7}, and Vmn is applied to {S2, S4, S6, S8}. Here, Vmp(Vmn) can be a sequence of square-wave signals with amplitude V0 and frequency ω, and it can be represented by V0sqrt(ωt) (–V0sqrt(ωt)). Because of input acceleration a, the movable mass has a displacement x = –Ms·a/k. Assume the sensing capacitance between {S1, S3, S5, S7} ({S2, S4, S6, S8}) and {M2, M6, M3, M7} as C1 (C2) separately and the voltage in the movable mass as VMs. According to charge conservation law, we have:

Equation 13.15. 

Combined with equations for C1 and C2 given before, we have:

Equation 13.16. 

Measuring the voltage level VMs in the movable mass, we know the value of displacement x, and hence the acceleration a.

In test mode, a certain test driving voltage Vd is applied to {D1, D3, D5, D7} to activate the device with electrostatic force. A nominal voltage Vnom is applied to {D2, D4, D6, D8} and {M1, M5, M4, M8}. Usually, Vnom is the time average value of modulation voltage Vmp. The modulation voltage Vmp is applied to {S1, S3, S5, S7}, whereas Vmn is applied to {S2, S4, S6, S8}. Because of electrostatic force Fd, the movable mass has a displacement of x = –Fd/k. The output voltage on movable mass Ms is measured for the device sensitivity. This value is compared with the expected good device response with a certain tolerance level to determine whether the device is faulty. Basically, this implements the function of sensitivity BIST. For example, in [Zimmermann 1995] and [Analog 2007], a self-test pin is used to send a voltage impulse to generate electrostatic force to actuate the comb accelerometer, and the corresponding voltage change is measured in the output pin for fault detection. Most of the defects that change the sensitivity of a comb accelerometer can be detected by this BIST method.

A fully differential symmetry BIST for CMOS MEMS comb accelerometers utilizing device symmetry was introduced in [Deb 2002]. The BIST method can be used for both manufacturing test and in-field test. With this method, the movable mass is physically divided into two (left and right) equal conductors connected by an insulator layer. In this way, the left and right parts of the movable mass/fingers are electrically insulated from each other. Both parts sense capacitance changes separately, without mutual signal interference. In symmetry BIST mode, the movable mass is activated with electrostatic force as in sensitivity BIST. The modulation voltage Vmp is applied to {S1, S3, S5, S7}, whereas Vmn is applied to {S2, S4, S6, S8}. A differential amplifier senses the difference between voltage Vs1 from left movable fingers {M2, M3} and voltage Vs2 from right movable fingers {M6, M7}. Because of the device symmetry, Vs1 and Vs2 of a good device should exactly match each other. However, if the left-right symmetry of the device is changed by local defects, then the differential sensing circuit observes the difference between Vs1 and Vs2. Hence, defects causing asymmetry in the device structure (e.g., local and hard-to-detect defects) can be detected. Dummy fingers are also utilized for symmetry BIST of the beams in a similar way. The fully differential scheme of sensing in the BIST can also tolerate any noise common to the left and right sides of the movable mass. Mathematical model analysis for a more generalized differential BIST method to deal with local manufacturing variations can also be found in [Deb 2006].

For comb accelerometers in which the movable mass is not divided (such as Analog Devices’ ADXL series accelerometers), the symmetry BIST method needs to be implemented in a different way, as proposed in [Xiong 2005a]. For the comb accelerometer shown in Figure 13.35 in symmetry BIST mode, test driving voltage Vd is applied to {D1, D3, D5, D7}, and Vnom is applied to {D2, D4, D6, D8}, {M1, M4, M5, M8}, and {S2, S4, S6, S8}. The movable mass is activated by electrostatic force Fd with displacement x = Fd/k. Modulation voltage Vmp is applied to {S1, S5}, while Vmn is applied to {S3, S7}. Because of device symmetry, the capacitance C1 between {S1, S5} and {M2, M3} should be always equal to the capacitance C2 between {S3, S7} and {M6, M7}. Thus, the AC output voltage on the central mass will be held at constant zero. The sensing circuit checks whether the AC output voltage on the central mass is a constant zero to detect any asymmetry caused by local defects. If there is a nonzero AC voltage on the movable electrode Ms (caused by imbalanced Vmp and Vmn), then it indicates there are local defects that alter the symmetry of the device. Because sensitivity BIST and symmetry BIST each has its own fault coverage, the dual-mode BIST in [Xiong 2005a] combines both BIST modes to yield higher fault coverage. The proposed symmetry BIST divides fixed instead of movable capacitance plates. Thus, it can be applied to capacitive MEMS devices in which the movable mass is not divided, such as ADXL series accelerometers, bulk-micromachined MEMS devices, and comb resonators.

In [Natarajan 2006], the authors proposed a technique to extract the mechanical parameters of a comb accelerometer using purely electrical (instead of mechanical) test stimulus. The basic idea is to use a gradient-search method to find a single-tone or multitone AC signal (riding on a DC voltage) that will actuate the beams to vary the capacitance of the comb accelerometer. The frequency of beam motion is selected to ensure that the beam is in steady-state oscillation with low vibration amplitude. The effective capacitance of the beam is then measured using a simple op-amp capacitance sensing circuit to predict the mechanical parameters such as mass, damping coefficient, and spring constant using a regression-based mapping technique. This method has the potential to eliminate the use of expensive test instrumentation and can also be applied to BIST solutions.

Finally, built-in self-repair (BISR) may be a possible solution to improve MEMS yield and reliability for safety-critical applications, just like what its counterpart does in VLSI circuits. MEMS devices generally contain movable mechanical parts. The implementation of BISR in MEMS is more challenging than that in VLSI. A BISR technique for comb accelerometers based on structure modularization and redundancy repair was reported in [Xiong 2005b]. Reliability enhancement of comb accelerometers with BISR can be found in [Xiong 2006]. However, there are still research issues in BISR, such as the signal strength in modularized design and built-in self-calibration (BISC) of the device after redundancy repair. BISR for MEMS remains a challenging research topic.

Concluding Remarks

A majority of microelectromechanical systems (MEMS) devices are inherently mechanical in nature and therefore require some special considerations during various manufacturing stages and testing. This chapter discussed some of the important handling considerations during dicing, packaging, and testing. There are a wide variety of test methods, such as electrical, optical, mechanical, and environmental, for characterization of various MEMS devices. This chapter reviewed the instrumentation, typical setup, and important characteristics for testing a wide variety of MEMS devices, including accelerometers, gyroscopes, humidity sensors, RF MEMS, optical MEMS, pressure sensors, and microphones. Due to the growing importance of microfluidics-based biochips, also referred to as lab-on-a-chip, and their potential for replacing cumbersome and expensive laboratory equipment, this chapter included a section on the testing of digital microfluidic biochips.

It is primarily the diversity of MEMS devices and their working principles that have prevented the development of universal design-for-testability (DFT) and built-in self-test (BIST) solutions for all types of MEMS in general. As a result, different DFT/BIST solutions are required for different types of MEMS. The discovery of such solutions needs a thorough understanding of various MEMS defects, fault models, and their associated failure mechanisms. This is further complicated by the variety of physical/chemical stimuli for MEMS devices including acceleration, pressure, heat, and chemical concentration, to name a few. In BIST for MEMS, such stimuli must be generated automatically on-chip. The on-chip generation of nonelectrical test stimuli (such as chemical or fluidic inputs for bioMEMS testing) has also been difficult. This chapter discussed the MEMS DFT and BIST techniques and presented a number of BIST examples that illustrate the variety, diversity, and complexity of MEMS testing, DFT, and BIST. However, MEMS, DFT, and BIST will continue to be important and challenging topics in the future.

Exercises

13.1

(Mechanical Test Methods) A certain MEMS device can be modeled as a proof mass attached to a base with a single spring. Testing of the device revealed a low pass response with a natural frequency of 20 KHz and a quality factor of 10. If the proof mass has a mass of 200 mg, compute the system spring constant, k, and the linear damping term, c.

13.2

(Mechanical Test Methods) For the MEMS device in Problem 13.1, plot the transmissibility of the device from 100 Hz to 100 KHz using a logarithmic scale for frequency. Repeat for otherwise identical MEMS devices with 100-mg and 400-mg proof masses.

13.3

(Rate Table) A certain accelerometer consists of a 10-mg proof mass suspended by a spring system with a system spring constant of 5000 N/m. If the accelerometer is mounted on a rate table 1 cm from the center of rotation and rotated so that the accelerometer experiences a 10-G acceleration, what is the displacement of the proof mass? Hint: Use the formula F = kx to determine the displacement. What is the required rotation rate for the accelerometer to experience a 10-G acceleration?

13.4

(MEMS Accelerometer) For a ±20 G MEMS accelerometer, develop a test plan for evaluating the performance of the device using a rate table, where the sensor is mounted 2 cm from the center of rotation and is tested in 1-G increments. The test plan should consist of a table of required rate table angular rates.

13.5

(RF MEMS Resonator) Let us consider a polysilicon contour-mode disk resonator with a radius R = 17μm and thickness h = 2μm. Calculate the resonance frequency of the resonator using the following formula:

Exercises

where α = 0.342 for the fundamental mode, Young’s modulus E = 150 GPa, density ρ = 2300 kg/m3 for polysilicon.

13.6

(MEMS Gyroscope) A driving signal x(t) = 10–6 sin(40000πt) Exercises is applied to a gyroscope. Find the amplitude and frequency of the driving signal. If the angular rate is Exercises, find an expression for the Coriolis acceleration. The Coriolis force is given by Exercises, where m is the proof-mass of the gyroscope, Exercises is the angular rate of the reference frame, and v is the velocity of the proof-mass.

13.7

(MEMS Pressure Sensor) A capacitive pressure sensor fabricated using liquid crystal polymer (LCP) has a circular diaphragm of radius a = 3 mm. The thickness of the LCP diaphragm is 50μm, and the spacing between the diaphragm and the substrate is 50μm. The Young’s modulus and Poisson’s ratio of the LCP are E = 2.4 GPa and v = 0.3, respectively. Find the pressure at which the deflected diaphragm of the pressure sensor contacts the fixed substrate. The maximum deflection of circular diaphragm is given by w0 = Pa4/64D, where D = Et3/12(1 – v2) is the flexural rigidity, E is the Young’s modulus, t is the thickness, and v is the Poisson’s ratio of the diaphragm.

13.8

(MEMS Microphone) Consider a MEMS microphone with a circular silicon nitride diaphragm of radius R = 2 mm and thickness h = 1μm. The gap between the diaphragm and the back plate is zo = 3μm. The diaphragm stress is σd = 1.5 × 108 N/m2. Find the resonance frequency. The resonance frequency of a circular diaphragm is given by Exercises, where D = Eh3/12(1 – v2) is the flexural rigidity, h is the thickness of the diaphragm, α = 10.21 for the fundamental mode, Young’s modulus E = 250 GPa, Poisson’s ratio v = 0.23, and density ρ = 3187 kg/m3 for silicon nitride.

13.9

(BIST) Read papers and find one reported research work about MEMS BIST other than the examples listed in this chapter. Identify whether electrical signal is used to induce the test stimulus for self-test of the MEMS device. Clearly explain the working principle of the BIST method for the MEMS device in the research work.

13.10

(BIST) Consider the MEMS comb accelerometer shown in Figure 13.35, but with 8 driving finger groups and 32 sensing finger groups. Assume the accelerometer works in open-loop mode. The total spring constant of the four beams is k = 1.72 N/m, and the mass of the movable mass Ms is 0.48μg. Find the displacement x of the movable mass resulting from a full range acceleration input a = 50g (1g = 9.8 m/s2) along the sensitive direction of the accelerometer. Assume the overlap area between each pair of fixed and movable driving fingers is 220μm × 2μm and the capacitance gap is 2μm. Find out the required BIST driving voltage Vd in order to mimic the effect of a 50g acceleration input in sensitivity BIST.

13.11

(BIST) Consider the comb accelerometer shown in Figure 13.35. Denote the capacitance between {S1, S5} and {M2, M3} as C1, and the capacitance between {S3, S7} and {M6, M7} as C2. When the movable mass experiences no displacement, we have C1 = C2 = 0.3pF. During the symmetry test in [Xiong 2005a], when the movable mass is activated by test-driving voltage for a certain displacement, the capacitances are changed to C1 = 0.49pF and C2 = 0.42pF. Assume modulation voltage Vmp = 5 V·sqr(ωt) is applied to {S1, S5}, and modulation voltage Vmn = –5 V·sqr(ωt) is applied to {S3, S7} separately. Find out the voltage output in the central movable mass VMs. Is there any local defect that changes the device left-right symmetry in this case?

Acknowledgments

The authors wish to thank Professor Krishnendu Chakrabarty of Duke University for contributing the Testing Digital Microfluidic Biochips section with assistance from Dr. Fei Su, Tao Xu, William Hwang, Dr. Phil Paik, Dr. Vamsee Pamula, and Professor Richard Fair; and Professor Wen-Ben Jone of University of Cincinnati for contributing the MEMS BIST Examples section. The authors also would like to acknowledge Auburn University for the contribution of numerous photographs of their facilities toward this work. Finally, the authors would like to thank Dr. Phil Reiner of Stanley Associates (Huntsville, Alabama), Professor Ian Papautsky of University of Cincinnati, Derek Strembicke of The AEgis Technologies Group (Huntsville, Alabama), Professor R. D. Shawn Blanton of Carnegie Mellon University, and Dr. Bernard Courtois of CMP (Grenoble, France) for reviewing this chapter.

References

Books

Introduction

MEMS Testing Considerations

Test Methods and Instrumentation for MEMS

RF MEMS Devices

Optical MEMS Devices

Fluidic MEMS Devices

Dynamic MEMS Devices

Testing Digital Microfluidic Biochips

DFT and BIST for MEMS

..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset