References

  1. [1] Marwedel, P. (2010) Embedded and Cyber-Physical Systems in a Nutshell, in Design Automation Conference (DAC) Knowledge Center Article. http://www.dac.com/front_end+topics.aspx?article=58&topic=1.
  2. [2] Edwards, S., Lavagno, L., Lee, E., and Sangiovanni-Vincentelli, A. (1997) Design of Embedded Systems: Formal Models, Validation, and Synthesis. Proceedings of the IEEE, 85 (3), 366–390.
  3. [3] Wolf, M. (2014) High-Performance Embedded Computing: Applications in Cyber-Physical Systems and Mobile Computing, Elsevier Morgan Kaufmann.
  4. [4] Wang, S. and Riccardo, B. (2001) Reactive Speed Control in Temperature-Constrained Real-Time Systems, in Proceedings of Euromicro Conference on Real-Time Systems, Yokohama, Japan, pp. 239–244.
  5. [5] Dally, W., Balfour, J., Black-Shaffer, D., Chen, J., Harting, R., Parikh, V., Park, J., and Sheffield, D. (2008) Efficient Embedded Computing. IEEE Computer, 41 (7), 27–32.
  6. [6] Balfour, J. (2010) Efficient Embedded Computing. Ph.D. Thesis, EE Department, Stanford University.
  7. [7] Gepner, P., Fraser, D., Kowalik, M., and Tylman, R. (2009) New Multi-Core Intel Xeon Processors Help Design Energy Efficient Solution for High Performance Computing, in Proceedings of IMCSIT, Mragowo, Poland.
  8. [8] Crowley, P., Franklin, M., Buhler, J., and Chamberlain, R. (2006) Impact of CMP Design on High-Performance Embedded Computing, in Proceedings of HPEC Workshop, Lexington, Massachusetts.
  9. [9] Lee, E. (2006) Cyber-Physical Systems—Are Computing Foundations Adequate? in NSF Workshop on Cyber-Physical Systems: Research Motivations, Techniques and Roadmap (Position Paper), Austin, Texas.
  10. [10] Starr, G., Wersinger, J., Chapman, R., Riggs, L., Nelson, V., Klingelhoeffer, J., and Stroud, C. (2009) Application of Embedded Systems in Low Earth Orbit for Measurement of Ionospheric Anomalies, in Proceedings of International Conference on Embedded Systems & Applications (ESA'09), Las Vegas, Nevada.
  11. [11] Samson, J., Ramos, J., George, A., Patel, M., and Some, R. (2006) Technology Validation: NMP ST8 Dependable Multiprocessor Project, in Proceedings of IEEE Aerospace Conference, Big Sky, Montana.
  12. [12] Intel (2010) Advantech Puts Intel Architecture at the Heart of LiDCO's Advanced Cardiovascular Monitoring System, in White Paper. http://download.intel.com/design/embedded/medical/323210.pdf.
  13. [13] Reunert, M. (2007) High Performance Embedded Systems for Medical Imaging, in Intel's White Paper. ftp://download.intel.com/design/embedded/medical-solutions/basoct07p9.pdf.
  14. [14] Intel (2011) Intel Technology Helps Medical Specialists More Quickly Reach—and Treat—Patients in Remote Areas, in White Paper. http://download.intel.com/embedded/applications/medical/325447.pdf.
  15. [15] Muller-Glaser, K., Frick, G., Sax, E., and Kuhl, M. (2004) Multiparadigm Modeling in Embedded Systems Design. IEEE Transactions on Control Systems Technology, 12 (2), 279–292.
  16. [16] Sangiovanni-Vincentelli, A. and Natale, M. (2007) Embedded System Design for Automotive Applications. IEEE Computer, 40 (10), 42–51.
  17. [17] Milojicic, D. (2000) Trend Wars: Embedded Systems. IEEE Concurrency, 8 (4), 80–90.
  18. [18] Kornaros, G. (2010) Multi-Core Embedded Systems, Taylor and Francis Group, CRC Press.
  19. [19] Gonzales, C. and Wang, H. (2011) White Paper: Thermal Design Considerations for Embedded Applications. http://download.intel.com/design/intarch/papers/321055.pdf.
  20. [20] Knight, J.C. (2002) Software Challenges in Aviation Systems, Springer-Verlag, Berlin/Heidelberg.
  21. [21] TILERA (2009) Tilera Multicore Development Environment: iLib API Reference Manual, in Tilera Official Documentation.
  22. [22] Young, W., Boebert, W., and Kain, R. (1985) Proving a Computer System Secure. Scientific Honeyweller, 6 (2), 18–27.
  23. [23] Munir, A. and Gordon-Ross, A. (2012) An MDP-based Dynamic Optimization Methodology for Wireless Sensor Networks. IEEE Transactions on Parallel and Distributed Systems (TPDS), 23 (4), 616–625.
  24. [24] Zhao, J. and Govindan, R. (2003) Understanding Packet Delivery Performance in Dense Wireless Sensor Networks, in Proceedings of ACM SenSys, Los Angeles, California.
  25. [25] Myers, C. (2011) Modeling and Verification of Cyber-Physical Systems, in Design Automation Summer School, University of Utah. http://www.lems.brown.edu/∼iris/dass11/Myers-DASS.pdf.
  26. [26] OMG (2011) Unified Modeling Language, in Object Management Group Standard. http://www.uml.org/.
  27. [27] Xie, Y. and Hung, W.L. (2006) Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design. Journal of VLSI Signal Processing Systems, 45 (3), 177–189.
  28. [28] Murali, S., Mutapcic, A., Atienza, D., Gupta, R., Boyd, S., and De Micheli, G. (2007) Temperature-Aware Processor Frequency Assignment for MPSoCs Using Convex Optimization, in Proceedings of IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Salzburg, Austria, pp. 111–116.
  29. [29] Ebi, T., Kramer, D., Karl, W., and Henkel, J. (2011) Economic Learning for Thermal-Aware Power Budgeting in Many-Core Architectures, in Proceedings of IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Taipei, Taiwan, pp. 189–196.
  30. [30] Jian-Jia, C., Shengquan, W., and Lothar, T. (2009) Proactive Speed Scheduling for Real-Time Tasks Under Thermal Constraints, in Proceedings of IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), San Francisco, California, pp. 141–150.
  31. [31] Wang, S. and Bettati, R. (2008) Reactive Speed Control in Temperature-Constrained Real-Time Systems. Real-Time Systems, 39 (1-3), 73–95.
  32. [32] Vahid, F. and Givargis, T. (2002) Embedded System Design: A Unified Hardware/Software Introduction, John Wiley and Sons, Inc.
  33. [33] Akyildiz, I.F., Melodia, T., and Chowdhury, K.R. (2008) Wireless Multimedia Sensor Networks: Applications and Testbeds. Proceedings of the IEEE, 96 (10), 1588–1605.
  34. [34] Akyildiz, I.F., Su, W., Sankarasubramaniam, Y., and Cayirci, E. (2002) Wireless Sensor Networks: A Survey. Elsevier Computer Networks, 38 (4), 393–422.
  35. [35] Liu, Y. and Das, S.K. (2006) Information-Intensive Wireless Sensor Networks: Potential and Challenges. IEEE Communications Magazine, 44 (11), 142–147.
  36. [36] Rockwell (2011) Rockwell Automation. www.rockwellautomation.com.
  37. [37] Kwok, T.T.O. and Kwok, Y.K. (2006) Computation and Energy Efficient Image Processing in Wireless Sensor Networks Based on Reconfigurable Computing, in Proceedings of the International Conference on Parallel Processing Workshops (ICPPW), Columbus, Ohio.
  38. [38] Kleihorst, R., Schueler, B., Danilin, A., and Heijligers, M. (2006) Smart Camera Mote with High Performance Vision System, in Proceedings of the Workshop on Distributed Smart Cameras (DSC), Boulder, Colorado.
  39. [39] Dogan, A.Y., Atienza, D., Burg, A., Loi, I., and Benini, L. (2011) Power/Performance Exploration of Single-Core and Multi-core Processor Approaches for Biomedical Signal Processing, in Proceedings of the Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Madrid, Spain.
  40. [40] Rajagopalan, R. and Varshney, P. (2006) Data-Aggregation Techniques in Sensor Networks: A Survey. IEEE Communications Surveys & Tutorials, 8 (4), 48–63.
  41. [41] Kulkarni, R., Forster, A., and Venayagamoorthy, G. (2011) Computational Intelligence in Wireless Sensor Networks: A Survey. IEEE Communications Surveys & Tutorials, 13 (1), 68–96.
  42. [42] Kak, A. (2011) Parallel Histogram-based Particle Filter for Object Tracking on SIMD-based Smart Cameras, in Purdue Robot Vision Lab, Purdue University, West Lafayette, Indiana. https://engineering.purdue.edu/RVL/Research/SIMD_PF/index.html.
  43. [43] MEMSIC (2011) Imote2 Hardware Bundle for Wireless Sensor Networks. www.memsic.com.
  44. [44] Munir, A. and Gordon-Ross, A. (2010) Optimization approaches in wireless sensor networks, in Sustainable Wireless Sensor Networks (eds W. Seah and Y.K. Tan), InTech. http://www.intechopen.com/articles/show/title/optimization-approaches-in-wireless-sensor-networks.
  45. [45] Nakamura, E.F., Loureiro, A.A., and Frery, A.C. (2007) Information Fusion for Wireless Sensor Networks: Methods, Models, and Classifications. ACM Computing Surveys, 39 (3), Article 9.
  46. [46] Bedworith, M. and O'Brien, J. (2000) The Omnibus Model: A New Model for Data Fusion? IEEE Aerospace and Electronic Systems Magazine, 15 (4), 30–36.
  47. [47] Kim, D., Park, K., and Ro, W. (2011) Network Coding on Heterogeneous Multi-Core Processors for Wireless Sensor Networks. Sensors, 11 (8), 7908–7933.
  48. [48] Murthy, G.R. (2010) Control, Communication and Computing Units: Converged Architectures. International Journal of Computer Applications, 1 (4), 49–54.
  49. [49] Li, W., Arslan, T., Han, J., Erdogan, A.T., El-Rayis, A., Haridas, N., and Yang, E. (2009) Energy Efficiency Enhancement in Satellite Based WSN through Collaboration and Self-Organized Mobility, in Proceedings of the IEEE Aerospace Conference, Big Sky, Montana.
  50. [50] Vladimirova, T., Bridges, C., Prassinos, G., Wu, X., Sidibeh, K., Barnhart, D., Jallad, A.H., Paul, J., Lappas, V., Baker, A., Maynard, K., and Magness, R. (2007) Characterizing Wireless Sensor Motes for Space Applications, in Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems (AHS), Edinburgh, UK.
  51. [51] Lappas, V., Prassinos, G., Baker, A., and Magness, R. (2006) Wireless Sensor Motes for Small Satellite Applications. IEEE Antennas and Propagation Magazine, 48 (5), 175–179.
  52. [52] Champaigne, K. (2005) Wireless Sensor Systems for Near-term Space Shuttle Missions, in Proceedings of the Conference & Exposition on Structural Dynamics (IMAC). http://sem.org/Proceedings/ConferencePapers-Paper.cfm?ConfPapersPaperID=23262.
  53. [53] Hamdi, M., Boudriga, N., and Obaidat, M. (2008) Bandwidth-Effecitive Design of a Satellite-Based Hybrid Wireless Sensor Network for Mobile Target Detection and Tracking. IEEE Systems Journal, 2 (1), 74–82.
  54. [54] Ye, W., Silva, F., DeSchon, A., and Bhatt, S. (2008) Architecture of a Satellite-Based Sensor Network for Environmental Observation, in Proceedings of the Earth Science Technology Conference (ESTC), Adelphi, Maryland.
  55. [55] Park, C., Xie, Q., and Chou, P. (2005) InstraNode: Dual-Microcontroller Based Sensor Node for Real-Time Structural Health Monitoring, in Proceedings of the IEEE Communications Society Conference on Sensor and Ad Hoc Communications and Networks (SECON), Santa Clara, California.
  56. [56] Etchison, J., Skelton, G., Pang, Q., and Hulitt, T. (2010) Mobile Intelligent Sensor Network Used for Data Processing, Jackson State University, Jackson, Mississippi. http://www.iiis.org/CDs2010/CD2010SCI/SCI_2010/PapersPdf/SA874PZ.pdf.
  57. [57] Vladimirova, T., Bridges, C., Paul, J., Malik, S., and Sweeting, M. (2009) Space-based Wireless Sensor Networks: Design Issues, in Proceedings of the IEEE Aerospace Conference, Big Sky, Montana.
  58. [58] Aeroflex (2011) Leon3 Processor. http://www.gaisler.com/cms/index.php?option=com_content&task=view&id=13&Itemid=53.
  59. [59] Ohara, S., Suzuki, M., Saruwatari, S., and Morikawa, H. (2008) A Prototype of a Multi-Core Wireless Sensor Node for Reducing Power Consumption, in Proceedings of the International Symposium on Applications and the Internet (SAINT), Turku, Finland.
  60. [60] TinyOS (2013) TinyOS. http://www.tinyos.net/.
  61. [61] MANTIS (2013) MANTIS—MultimodAl NeTworks of In-situ Sensors. http://mantisos.org/index/tiki-index.php.html.
  62. [62] Sankaranarayanan, A.C., Studer, C., and Baraniuk, R.G. (2012) CS-MUVI: Video Compressive Sensing for Spatial-Multiplexing Cameras, in Proceedings of the IEEE International Conference on Computational Photography (ICCP), Seattle, Washington.
  63. [63] LYTRO (2013) LYTRO—Light Field Camera. http://www.lytro.com/camera/.
  64. [64] Kinect (2013) Kinect. http://en.wikipedia.org/wiki/Kinect.
  65. [65] Calhoun, B.H. and Brooks, D. (2010) Can Subthreshold and Near-Threshold Circuits Go Mainstream? IEEE Micro, 30 (4), 80–85.
  66. [66] Seo, S., Dreslinski, R.G., Woh, M., Chakrabarti, C., Mahlke, S., and Mudge, T. (2010) Diet SODA: A Power-Efficient Processor for Digital Cameras, in Proceedings of International Symposium on Low Power Electronics and Design (ISLPED), Austin, Texas.
  67. [67] Seo, S., Dreslinski, R.G., Woh, M., Park, Y., Chakrabarti, C., Mahlke, S., Blaauw, D., and Mudge, T. (2012) Process Variation in Near-Threshold Wide SIMD Architectures, in Proceedings of Design Automation Conference (DAC), San Francisco, California.
  68. [68] Zhang, W., Fossum, J.G., Mathew, L., and Du, Y. (2005) Physical Insights Regarding Design and Performance of Independent-Gate FinFETs. IEEE Transactions on Electronic Devices (T-ED), 52 (10), 2198–2206.
  69. [69] Munir, A. and Gordon-Ross, A. (2009) An MDP-based Application Oriented Optimal Policy for Wireless Sensor Networks, in Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), ACM, Grenoble, France, pp. 183–192.
  70. [70] Sha, K. and Shi, W. (2005) Modeling the Lifetime of Wireless Sensor Networks. Sensor Letters, 3, 126–135.
  71. [71] Jung, D., Teixeira, T., Barton-Sweeney, A., and Savvides, A. (2007) Model-based Design Exploration of Wireless Sensor Node Lifetimes, in Proceedings of the ACM 4th European conference on Wireless sensor networks (EWSN'07), Delft, The Netherlands.
  72. [72] Jung, D., Teixeira, T., and Savvides, A. (2009) Sensor Node Lifetime Analysis: Models and Tools. ACM Transactions on Sensor Networks (TOSN), 5 (1), 1–33.
  73. [73] Munir, A., Gordon-Ross, A., Lysecky, S., and Lysecky, R. (2010) A One-Shot Dynamic Optimization Methodology for Wireless Sensor Networks, in Proceedings IARIA IEEE International Conference on Mobile Ubiquitous Computing, Systems, Services and Technologies (UBICOMM), Florence, Italy.
  74. [74] Nguyen, H., Forster, A., Puccinelli, D., and Giordano, S. (2011) Sensor Node Lifetime: An Experimental Study, in Proceedings of IEEE International Conference on Pervasive Computing and Communications (PerCom'11), Seattle, Washington.
  75. [75] Crossbow (2010) MTS/MDA Sensor Board Users Manual, in Crossbow Technology, Inc., San Jose, California. http://www.xbow.com/support/Support_pdf_files/MTS-MDA_Series_Users_Manual.pdf.
  76. [76] Sensirion (2010) Datasheet SHT1x (SHT10, SHT11, SHT15) Humidity and Temperature Sensor, in SENSIRION - The Sensor Company, Staefa, Switzerland. http://www.sensirion.com/en/pdf/product_information/Datasheet-humidity-sensor-SHT1x.pdf.
  77. [77] Atmel (2010) ATMEL ATmega1281 Microcontroller with 256K Bytes In-System Programmable Flash, in ATMEL Corporation, San Jose, California. http://www.atmel.com/dyn/resources/prod_documents/2549S.pdf.
  78. [78] Atmel (2010) ATMEL AT86RF230 Low Power 2.4 GHz Transceiver for ZigBee, IEEE 802.15.4, 6LoWPAN, RF4CE and ISM Applications, in ATMEL Corporation, San Jose, California. http://www.atmel.com/dyn/resources/prod_documents/doc5131.pdf.
  79. [79] Friis, H. (1946) A Note on a Simple Transmission Formula. Proceedings of the IRE, 34, 254.
  80. [80] Crossbow (2010) Crossbow IRIS Datasheet, in Crossbow Technology, Inc., San Jose, California. http://www.xbow.com/Products/Product_pdf_files/Wireless_pdf/IRIS_Datasheet.pdf.
  81. [81] Akyildiz, I., Su, W., Sankarasubramaniam, Y., and Cayirci, E. (2002) Wireless Sensor Networks: A Survey. Elsevier Computer Networks, 38 (4), 393–422.
  82. [82] Winkler, M., Tuchs, K.D., Hughes, K., and Barclay, G. (2008) Theoretical and Practical Aspects of Military Wireless Sensor Networks. Journal of Telecommunications and Information Technology, 2, 37–45.
  83. [83] Jiang, M., Guo, Z., Hong, F., Ma, Y., and Luo, H. (2009) OceanSense: A Practical Wireless Sensor Network on the Surface of the Sea, in Proceedings of IEEE International Conference on Pervasive Computing and Communications (PerCom), Galveston, Texas.
  84. [84] Werner-Allen, G., Lorincz, K., Welsh, M., Marcillo, O., Johnson, J., Ruiz, M., and Lees, J. (2006) Deploying a Wireless Sensor Network on an Active Volcano. IEEE Internet Computing, 10 (2), 18–25.
  85. [85] Yifan, K. and Peng, J. (2008) Development of Data Video Base Station in Water Environment Monitoring Oriented Wireless Sensor Networks, in Proceedings of IEEE ICESS, Washington, DC.
  86. [86] Mainwaring, A., Culler, D., Polastre, J., Szewczyk, R., and Anderson J. (2002) Wireless Sensor Networks for Habitat Monitoring, in Proceedings of ACM WSNA, Atlanta, Georgia.
  87. [87] NASA (2011) NASA Kennedy Space Center: NASA Orbiter Fleet. http://www.nasa.gov/centers/kennedy/shuttleoperations/orbiters/orbitersdis.html.
  88. [88] Moustapha, A. and Selmic, R. (2007) Wireless Sensor Network Modeling Using Modified Recurrent Neural Networks: Application to Fault Detection, in Proceedings of IEEE ICNSC, London, UK.
  89. [89] Bredin, J., Demaine, E., Hajiaghayi, M., and Rus, D. (2010) Deploying Sensor Networks With Guaranteed Fault Tolerance. IEEE/ACM Transactions on Networking, 18 (1), 216–228.
  90. [90] Chen, J., Kher, S., and Somani, A. (2006) Distributed Fault Detection of Wireless Sensor Networks, in ACM DIWANS, Los Angeles, California.
  91. [91] Ding, M., Chen, D., Xing, K., and Cheng, X. (2005) Localized Fault-Tolerant Event Boundary Detection in Sensor Networks, in Proceedings of IEEE INFOCOM, Miami, Florida.
  92. [92] Koren, I. and Krishna, M. (2007) Fault-Tolerant Systems, Morgan Kaufmann Publishers.
  93. [93] Sharma, A., Golubchik, L., and Govindan, R. (2007) On the Prevalence of Sensor Faults in Real-World Deployments, in Proceedings of IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks (SECON), San Diego, California.
  94. [94] Koushanfar, F., Potkonjak, M., and Sangiovanni-Vincentelli, A. (2002) Fault Tolerance Techniques for Wireless Ad Hoc Sensor Networks, in Proceedings of IEEE Sensors, Orlando, Florida.
  95. [95] Hopkins, A., Smith, T.B., and Lala, J. (1978) FTMP - A Highly Reliable Fault-Tolerant Multiprocessor for Aircraft. Proceedings of the IEEE, 66 (10), 1221–1239.
  96. [96] Wensley, J., Lamport, L., Goldberg, J., Green, M., Levitt, N., Melliar-Smith, P., Shostak, R., and Weinstock, C. (1978) SIFT: Design and Analysis of a Fault-Tolerant Computer for Aircraft Control. Proceedings of the IEEE, 66 (10), 1240–1255.
  97. [97] Avizienis, A. (1985) The N-Version Approach to Fault-Tolerant Software. IEEE Transactions on Software Engineering, 11 (12), 1491–1501.
  98. [98] Somani, A. and Vaidya, N. (1997) Understanding Fault Tolerance and Reliability. IEEE Computer, 30 (4), 45–50.
  99. [99] Sklaroff, J. (1976) Redundancy Management Technique for Space Shuttle Computers. IBM Journal of Research and Development, 20 (1), 20–28.
  100. [100] Avizienis, A. and Laprie, J. (1986) Dependable Computing: From Concepts to Design Diversity. Proceedings of the IEEE, 74 (5), 629–638.
  101. [101] ns2 (2014) The Network Simulator—ns-2. http://www.isi.edu/nsnam/ns/.
  102. [102] Jiang, P. (2009) A New Method for Node Fault Detection in Wireless Sensor Networks. Sensors, 9 (2), 1282–1294.
  103. [103] Jian-Liang, G., Yong-Jun, X., and Xiao-Wei, L. (2007) Weighted-Median based Distributed Fault Detection for Wireless Sensor Networks. Journal of Software, 18 (5), 1208–1217.
  104. [104] Lee, M. and Choi, Y. (2008) Fault Detection of Wireless Sensor Networks. Elsevier Computer Communications, 31 (14), 3469–3475.
  105. [105] Khilar, P. and Mahapatra, S. (2007) Intermittent Fault Diagnosis in Wireless Sensor Networks, in Proceedings of IEEE ICIT, Rourkela, India.
  106. [106] Krishnamachari, B. and Iyengar, S. (2004) Distributed Bayesian Algorithms for Fault-Tolerant Event Region Detection in Wireless Sensor Networks. IEEE Transactions on Computers, 53 (3), 241–250.
  107. [107] Wu, J., Duh, D., Wang, T., and Chang, L. (2007) On-Line Sensor Fault Detection Based on Majority Voting in Wireless Sensor Networks, in Proceedings of 24th Workshop on Combinatorial Mathematics and Computation Theory (ALGO), Eilat, Israel.
  108. [108] Lo, C., Lynch, J.P., and Liu, M. (2013) Distributed Reference-Free Fault Detection Method for Autonomous Wireless Sensor Networks. IEEE Sensors Journal, 13 (5), 2009–2019.
  109. [109] Miao, X., Liu, K., He, Y., Papadias, D., Ma, Q., and Liu, Y. (2013) Agnostic Diagnosis: Discovering Silent Failures in Wireless Sensor Networks. IEEE Transactions on Wireless Communications, 12 (12), 6067–6075.
  110. [110] Bhargava, A. and Raghuvanshi, A. (2013) Anomaly Detection in Wireless Sensor Networks using S-Transform in Combination with SVM, in Proceedings of 5th International Conference on Computational Intelligence and Communication Networks (CICN), pp. 111–116.
  111. [111] Salem, O., Guerassimov, A., Mehaoua, A., Marcus, A., and Furht, B. (2013) Sensor Fault and Patient Anomaly Detection and Classification in Medical Wireless Sensor Networks, in Proceedings of IEEE International Conference on Communications (ICC), pp. 4373–4378.
  112. [112] Clouqueur, T., Saluja, K., and Ramanathan, P. (2004) Fault Tolerance in Collaborative Sensor Networks for Target Detection. IEEE Transactions on Computers, 53 (3), 320–333.
  113. [113] Chiang, M., Zilic, Z., Chenard, J., and Radecka, K. (2004) Architectures of Increased Availability Wireless Sensor Network Nodes, in Proceedings of IEEE ITC, Washington, DC.
  114. [114] Krasniewski, M., Varadharajan, P., Rabeler, B., Bagchi, S., and Hu, Y.C. (2005) TIBFIT: Trust Index Based Fault Tolerance for Arbitrary Data Faults in Sensor Networks, in Proceedings of IEEE International Conference on Dependable Systems and Networks (DSN), pp. 672–681.
  115. [115] Sun, Y., Luo, H., and Das, S.K. (2012) A Trust-Based Framework for Fault-Tolerant Data Aggregation in Wireless Multimedia Sensor Networks. IEEE Transactions on Dependable and Secure Computing, 9 (6), 785–797.
  116. [116] Zhang, W., Xue, G., and Misra, S. (2007) Fault-Tolerant Relay Node Placement in Wireless Sensor Networks: Problems and Algorithms, in Proceedings of IEEE INFOCOM, Anchorage, Alaska.
  117. [117] Han, X., Cao, X., Lloyd, E., and Shen, C.C. (2010) Fault-Tolerant Relay Node Placement in Heterogeneous Wireless Sensor Networks. IEEE Transactions on Mobile Computing, 9 (5), 643–656.
  118. [118] Baldi, M., Chiaraluce, F., and Zanaj, E. (2009) Fault Tolerance in Sensor Networks: Performance Comparison of Some Gossip Algorithms, in IEEE WISES, Ancona, Italy.
  119. [119] Sen, A., Shen, B., Zhou, L., and Hao, B. (2006) Fault-Tolerance in Sensor Networks: A New Evaluation Metric, in Proceedings of IEEE INFOCOM, Barcelona, Catalunya, Spain.
  120. [120] Alwan, H. and Agarwal, A. (2009) A Survey on Fault Tolerant Routing Techniques in Wireless Sensor Networks, in Proceedings of IEEE SENSORCOMM, Athens, Greece.
  121. [121] Souza, L. (2007) FT-CoWiseNets: A Fault Tolerance Framework for Wireless Sensor Networks, in Proceedings of IEEE SENSORCOMM, Valencia, Spain.
  122. [122] Cai, W., Jin, X., Zhang, Y., Chen, K., and Tang, J. (2006) Research on Reliability Model of Large-Scale Wireless Sensor Networks, in Proceedings of IEEE WiCOM, Wuhan, China.
  123. [123] Zhu, J. and Papavassiliou, S. (2003) On the Connectivity Modeling and the Tradeoffs between Reliability and Energy Efficiency in Large Scale Wireless Sensor Networks, in Proceedings of IEEE WCNC, New Orleans, Louisiana.
  124. [124] Vasar, C., Prostean, O., Filip, I., Robu, R., and Popescu, D. (2009) Markov Models for Wireless Sensor Network Reliability, in Proceedings of IEEE ICCP, Cluj-Napoca, Romania.
  125. [125] Xing, L. and Michel, H. (2006) Integrated Modeling for Wireless Sensor Networks Reliability and Security, in Proceedings of IEEE/ACM RAMS, Newport Beach, California.
  126. [126] Kannan, R. and Iyengar, S. (2004) Game-Theoretic Models for Reliable Path-Length and Energy-Constrained Routing With Data Aggregation in Wireless Sensor Networks. IEEE Journal on Selected Areas in Communications (JSAC), 22 (6), 1141–1150.
  127. [127] Mukhopadhyay, S., Schurgers, C., Panigrahi, D., and Dey, S. (2009) Model-Based Techniques for Data Reliability in Wireless Sensor Networks. IEEE Transactions on Mobile Computing, 8 (4), 528–543.
  128. [128] Ni, K., Ramanathan, N., Chehade, M., Balzano, L., Nair, S., Zahedi, S., Pottie, G., Hansen, M., Srivastava, M., and Kohler, E. (2009) Sensor Network Data Fault Types. ACM Transactions on Sensor Networks, 5 (3).
  129. [129] Mahapatro, A. and Khilar, M.P. (2013) Fault Diagnosis in Wireless Sensor Networks: A Survey. IEEE Communications Surveys & Tutorials, 15 (4), 2000–2026.
  130. [130] Munir, A. and Gordon-Ross, A. (2011) Markov Modeling of Fault-Tolerant Wireless Sensor Networks, in Proceedings of IEEE International Conference on Computer Communication Networks (ICCCN), Maui, Hawaii.
  131. [131] Johnson, N., Kotz, S., and Balakrishnan, N. (1994) Continuous Univariate Distributions, John Wiley & Sons, Inc.
  132. [132] NIST (2011) Engineering Statistics Handbook: Exponential Distribution. http://www.itl.nist.gov/div898/handbook/apr/section1/apr161.htm.
  133. [133] Wikipedia (2014) ns (simulator). http://en.wikipedia.org/wiki/Ns_(simulator).
  134. [134] Intel (2009) Intel-Berkeley Research Lab. http://db.csail.mit.edu/labdata/labdata.html.
  135. [135] Sahner, R., Trivedi, K., and Puliafito, A. (1996) Performance and Reliability Analysis of Computer Systems: An Example-Based Approach Using the SHARPE Software Package, Kluwer Academic Publishers.
  136. [136] SHARPE (2014) The SHARPE Tool & the Interface (GUI). http://people.ee.duke.edu/chirel/IRISA/sharpeGui.html.
  137. [137] Munir, A. and Gordon-Ross, A. (2009) An MDP-based Application Oriented Optimal Policy for Wireless Sensor Networks, in Proceedings of IEEE/ACM CODES+ISSS, Grenoble, France.
  138. [138] Du, X. and Chen, H.H. (2008) Security in Wireless Sensor Networks. IEEE Wireless Communications, 15 (4), 60–66.
  139. [139] Sichitiu, M.L. and Veerarittiphan, C. (2003) Simple, Accurate Time Synchronization for Wireless Sensor Networks, in Proceedings of IEEE Wireless Communications and Networking (WCNC), New Orleans, Louisiana.
  140. [140] Ganeriwal, S., Kumar, R., and Srivastava, M. (2003) Timing-Sync Protocol for Sensor Networks, in Proceedings of 1st International Conference on Embedded Networked Sensor Systems (SenSys), Los Angeles, California, pp. 138–149.
  141. [141] Sun, B., Osborne, L., Xiao, Y., and Guizani, S. (2007) Intrusion Detection Techniques in Mobile Ad Hoc and Wireless Sensor Networks. IEEE Wireless Communications, 14 (5), 56–63.
  142. [142] Munir, A., Gordon-Ross, A., and Ranka, S. (2014) Multi-core Embedded Wireless Sensor Networks: Architecture and Applications. IEEE Transactions on Parallel and Distributed Systems, 25 (6), 1553–1562.
  143. [143] Balfour, J. (2010) Efficient Embedded Computing. Ph.D. Thesis, Department of Electrical Engineering, Stanford University.
  144. [144] Fedorova, A., Blagodurov, S., and Zhuravlev, S. (2010) Managing Contention for Shared Resources on Multicore Processors. Communications of the ACM, 53 (2), 49–57.
  145. [145] Culler, D., Singh, J., and Gupta, A. (1999) Parallel Computer Architecture: A Hardware/Software Approach, Morgan Kaufmann Publishers, Inc.
  146. [146] Savage, J. and Zubair, M. (2008) A Unified Model for Multicore Architectures, in Proceedings of ACM International Forum on Next-generation Multicore/Manycore Technologies (IFMT), Cairo, Egypt.
  147. [147] Jain, R. (1991) The Art of Computer Systems Performance Analysis: Techniques for Experimental Design, Measurement, Simulation, and Modeling, Wiley.
  148. [148] Flynn, M.J. (1995) Computer Architecture: Pipelined and Parallel Processor Design, Jones & Bartlett Learning.
  149. [149] Sorin, D.J., Pai, V.S., Adve, S.V., Vernon, M.K., and Wood, D.A. (1998) Analytic Evaluation of Shared-Memory Systems with ILP Processors, in Proceedings of the 25th Annual International Symposium on Computer Architecture (ISCA'98), Barcelona, Spain.
  150. [150] Ïpek, E., McKee, S.A., Supinski, B., Schulz, M., and Caruana, R. (2006) Efficiently Exploring Architectural Design Spaces via Predictive Modeling, in Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-XII), San Jose, California.
  151. [151] Chandra, D., Guo, F., Kim, S., and Solihin, Y. (2005) Predicting Inter-Thread Cache Contention on a Chip Multi-Processor Architecture, in Proceedings of the 11th International Symposium on High-Performance Computer Architecture (HPCA-11), San Francisco, California.
  152. [152] Chen, X.E. and Aamodt, T.M. (2011) Modeling Cache Contention and Throughput of Multiprogrammed Manycore Processors. IEEE Transactions on Computers, (99).
  153. [153] Samari, N. and Schneider, G. (1980) A Queueing Theory-Based Analytic Model of a Distributed Computer Network. IEEE Transactions on Computers, C-29 (11), 994–1001.
  154. [154] Kleinrock, L. (1976) Queueing Systems, Volume II: Computer Applications, Wiley-Interscience.
  155. [155] Mainkar, V. and Trivedi, K. (1991) Performance Modeling Using SHARPE, in Proceedings of the Eighth Symposium on Reliability in Electronics (RELECTRONIC), Budapest, Hungary.
  156. [156] Willick, D.L. and Eager, D.L. (1990) An Analytic Model of Multistage Interconnection Networks, in Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, Boulder, Colorado.
  157. [157] Nussbaum, S. and Smith, J.E. (2001) Modeling Superscalar Processors via Statistical Simulation, in Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT), Barcelona, Spain.
  158. [158] Karkhanis, T.S. and Smith, J.E. (2004) A First-Order Superscalar Processor Model, in Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA'04), Munchen, Germany.
  159. [159] Wunderlich, R.E., Wenisch, T.F., Falsafi, B., and Hoe, J.C. (2003) SMARTS: Accelerating Microarchitecture Simulation via Rigorous Statistical Sampling, in Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA), San Diego, California.
  160. [160] Kumar, R., Tullsen, D., Jouppi, N., and Ranganathan, P. (2005) Heterogeneous Chip Multiprocessors. IEEE Computer, 38 (11), 32–38.
  161. [161] Sabry, M., Ruggiero, M., and Valle, P. (2010) Performance and Energy Trade-offs Analysis of L2 On-chip Cache Architectures for Embedded MPSoCs, in Proceedings of IEEE/ACM Great Lakes Symposium on VLSI (GLSVLSI), Providence, Rhode Island, USA.
  162. [162] Benítez, D., Moure, J., Rexachs, D., and Luque, E. (2007) Adaptive L2 Cache for Chip Multiprocessors, in Proceedings of ACM International European Conference on Parallel and Distributed Computing (Euro-Par), Rennes, France.
  163. [163] Ruggiero, J. (2008) Measuring Cache and Memory Latency and CPU to Memory Bandwidth. Intel White Paper, pp. 1–14.
  164. [164] Medhi, J. (2003) Stochastic Models in Queueing Theory, Academic Press, An imprint of Elsevier Science.
  165. [165] Intel (2011) Dual-Core Intel Xeon Processors LV and ULV for Embedded Computing. ftp://download.intel.com/design/intarch/prodbref/31578602.pdf.
  166. [166] Kwon, O., Bahn, H., and Koh, K. (2008) FARS: A Page Replacement Algorithm for NAND Flash Memory Based Embedded Systems, in Proceedings of IEEE CIT, Sydney, Australia.
  167. [167] Shi, L., Xue, C.J., Hu, J., Tseng, W.C., Zhou, X., and Sha, E.H.-M. (2010) Write Activity Reduction on Flash Main Memory via Smart Victim Cache, in Proceedings of ACM GLSVLSI, Providence, Rhode Island, USA.
  168. [168] Reiser, M. and Lavenberg, S. (1980) Mean Value Analysis of Closed Multi-chain Queueing Networks. Journal of ACM, 27 (2), 313–322.
  169. [169] Sevcik, K. and Mitrani, I. (1981) The Distribution of Queueing Network States at Input and Output Instants. Journal of ACM, 28 (2), 358–371.
  170. [170] Woo, S., Ohara, M., Torrie, E., Singh, J., and Gupta, A. (1995) The SPLASH-2 Programs: Characterization and Methodological Considerations, in Proceedings of ACM ISCA, Santa Margherita Ligure, Italy.
  171. [171] Bienia, C., Kumar, S., and Li, K. (2008) PARSEC vs. SPLASH-2: A Quantitative Comparison of Two Multithreaded Benchmark Suites on Chip-Multiprocessors, in Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), Seattle, Washington.
  172. [172] SESC (2011) SESC: SuperESCalar Simulator. http://iacoma.cs.uiuc.edu/paulsack/sescdoc/.
  173. [173] CHREC (2011) NSF Center for High-Performance Reconfigurable Computing. http://www.chrec.org/.
  174. [174] ARM7TDMI (2010) ATMEL Embedded RISC Microcontroller Core: ARM7TDMI. http://www.atmel.com/.
  175. [175] ARM7TDMI (2010) ARM7TDMI Data Sheet. http://www.atmel.com/.
  176. [176] TILERA (2009) Tile Processor Architecture Overview, in TILERA Official Documentation, Copyright 2006-2009 Tilera Corporation.
  177. [177] Yang, L., Dick, R., Lekatsas, H., and Chakradhar, S. (2010) Online Memory Compression for Embedded Systems. ACM Transactions on Embedded Computing Systems (TECS), 9 (3), 27:1–27:30.
  178. [178] Freescale (2011) Cache Latencies of the PowerPC MPC7451. http://cache.freescale.com/files/32bit/doc/app_note/AN2180.pdf.
  179. [179] Min, R., Jone, W.B., and Hu, Y. (2004) Location Cache: A Low-Power L2 Cache System, in Proceedings of ACM International Symposium on Low Power Electronics and Design (ISLPED), Newport Beach, California.
  180. [180] Chen, Y., Li, E., Li, J., and Zhang, Y. (2007) Accelerating Video Feature Extractions in CBVIR on Multi-core Systems. Intel Technology Journal, 11 (4), 349–360.
  181. [181] Jain, P. (2008) Software-assisted Cache Mechanisms for Embedded Systems. Ph.D. Thesis, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology.
  182. [182] CACTI (2010) An Integrated Cache and Memory Access Time, Cycle Time, Area, Leakage, and Dynamic Power Model. http://www.hpl.hp.com/research/cacti/.
  183. [183] ITRS (2011) International Technology Roadmap for Semiconductors. http://www.itrs.net/.
  184. [184] ARM (2011) ARM7 Thumb Family. http://saluc.engr.uconn.edu/refs/processors/arm/arm7_family.pdf.
  185. [185] Brooks, D. and Martonosi, M. (2000) Value-based Clock Gating and Operation Packing: Dynamic Strategies for Improving Processor Power and Performance. ACM Transactions on Computer Systems, 18 (2), 89–126.
  186. [186] Hamed, H., El-Atawy, A., and Ehab, A.S. (2006) On Dynamic Optimization of Packet Matching in High-Speed Firewalls. IEEE Journal on Selected Areas in Communications, 24 (10), 1817–1830.
  187. [187] Hazelwood, K. and Smith, M. (2006) Managing Bounded Code Caches in Dynamic Binary Optimization Systems. ACM Transactions on Architecture and Code Optimization, 3 (3), 263–294.
  188. [188] Hu, S., Valluri, M., and John, L. (2006) Effective Management of Multiple Configurable Units using Dynamic Optimization. ACM Transactions on Architecture and Code Optimization, 3 (4), 477–501.
  189. [189] Mahalik, N. (2007) Sensor Networks and Configuration: Fundamentals, Standards, Platforms, and Applications, Springer-Verlag.
  190. [190] Karl, H. and Willig, A. (2005) Protocols and Architectures for Wireless Sensor Networks, John Wiley & Sons, Inc.
  191. [191] StrongARM (2011) Intel StrongARM SA-1110 Microprocessor. http://bwrc.eecs.berkeley.edu/research/pico_radio/test_bed/hardware/documentation/arm/sa1110briefdatasheet.pdf.
  192. [192] ATMEL (2010) ATMEL ATmega128L 8-bit Microcontroller Datasheet, in ATMEL Corporation, San Jose, California. http://www.atmel.com/dyn/resources/prod_documents/doc2467.pdf.
  193. [193] Rappaport, T.S. (1996) Wireless Communications, Principles and Practice, Prentice-Hall.
  194. [194] Abramson, N. (1985) Development of the ALOHANET. IEEE Transactions on Information Theory, 31 (2), 119–123.
  195. [195] IEEE Standards (1999), Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specification, IEEE Std 802.11-1999 edition: LAN MAN Standards Committee of the IEEE Computer Society.
  196. [196] Sohraby, K., Minoli, D., and Znati, T. (2007) Wireless Sensor Networks: Technology, Protocols, and Applications, John Wiley & Sons, Inc.
  197. [197] Chandrakasan, A., Amirtharajah, R., Cho, S., Konduri, J., Kulik, J., Rabiner, W., and Wang, A. (1999) Design Considerations for Distributed Microsensor Systems, in Proceedings of IEEE Custom Integrated Circuits Conference (CICC), San Diego, California.
  198. [198] Rajendran, V., Obraczka, K., and Garcia-Luna-Aceves, J. (2003) Energy-efficient Collision-free Medium Access Control for Wireless Sensor Networks, in Proceedings of International Conference on Embedded Networked Sensor Systems (SenSys)'03, ACM, Los Angeles, California.
  199. [199] Polastre, J., Hill, J., and Culler, D. (2004) Versatile Low Power Media Access for Wireless Sensor Networks, in Proceedings of International Conference on Embedded Networked Sensor Systems (SenSys)'04, ACM, Baltimore, Maryland.
  200. [200] Rhee, I., Warrier, A., Aia, M., and Min, J. (2005) Z-MAC: A Hybrid MAC for Wireless Sensor Networks, in Proceedings of International Conference on Embedded Networked Sensor Systems (SenSys)'05, ACM, San Diego, California.
  201. [201] Ye, W., Heidemann, J., and Estrin, D. (2002) An Energy-Efficient MAC protocol for Wireless Sensor Networks, in Proceedings of INFOCOM'02, IEEE, New York, New York.
  202. [202] Bao, L. and Garcia-Luna-Aceves, J. (2001) A New Approach to Channel Access Scheduling for Ad Hoc Networks, in Proceedings of MobiCom'01, ACM, Rome, Italy.
  203. [203] Raghavendra, C., Sivalingam, K., and Znati, T. (2004) Wireless Sensor Networks, Kluwer Academic Publishers.
  204. [204] Stojmenović, I. (2005) Handbook of Sensor Networks: Algorithms and Architectures, John Wiley & Sons, Inc.
  205. [205] Van Dam, T. and Langendoen, K. (2003) An Adaptive Energy-Efficient MAC Protocol for Wireless Sensor Networks, in Proceedings of International Conference on Embedded Networked Sensor Systems (SenSys)'03, ACM, Los Angeles, California.
  206. [206] Singh, S. and Raghavendra, C.S. (1998) PAMAS - Power Aware Multi-Access protocol with Signaling for ad hoc networks. ACM Sigcomm Computer Communication Review, 28 (3), 5–26.
  207. [207] Culler, D., Hill, J., Horton, M., Pister, K., Szewczyk, R., and Woo, A. (2002) MICA: The Commercialization of Microsensor Motes, in Sensor Magazine. http://www.sensorsmag.com/articles/0402/40/.
  208. [208] Ye, W., Heidemann, J., and Estrin, D. (2004) Medium Access Control with Coordinated Adaptive Sleeping for Wireless Sensor Networks. IEEE/ACM Transactions on Networking, 12 (3), 493–506.
  209. [209] Varga, A. (2001) The OMNeT++ discrete event simulation system, in Proceedings of European Simulation Multiconference (ESM)'01, Prague, Czech Republic.
  210. [210] EYES (2010) Energy Efficient Sensor Networks. http://www.eyes.eu.org/sensnet.htm.
  211. [211] Coffin, D., Hook, D., McGarry, S., and Kolek, S. (2000) Declarative Ad-hoc Sensor Networking, in SPIE Integrated Command Environments.
  212. [212] Intanagonwiwat, C., Govindan, R., Estrin, D., Heidemann, J., and Silva, F. (2003) Directed Diffusion for Wireless Sensor Networking. IEEE/ACM Transactions on Networking, 11 (1), 2–16.
  213. [213] Poor, R. (2010) Gradient Routing in Ad Hoc Networks. http://www.media.mit.edu/pia/Research/ESP/texts/poorieeepaper.pdf.
  214. [214] Ye, F., Zhong, G., Lu, S., and Zhang, L. (2005) GRAdient Broadcast: A Robust Data Delivery Protocol for Large Scale Sensor Networks. ACM Wireless Networks (WINET), 11 (3), 285–298.
  215. [215] Shah, R. and Rabaey, J. (2002) Energy Aware Routing for Low Energy Ad Hoc Sensor Networks, in Proceedings of Wireless Communications and Networking Conference (WCNC), IEEE, Orlando, Florida.
  216. [216] Lu, C., Blum, B., Abdelzaher, T., Stankovic, J., and He, T. (2002) RAP: A Real-Time Communication Architecture for Large-Scale Wireless Sensor Networks, in Real-Time and Embedded Technology and Applications Symposium (RTAS)'02, San Jose, California.
  217. [217] He, T., Stankovic, J., Lu, C., and Abdelzaher, T. (2003) SPEED: A Stateless Protocol for Real-time Communication in Sensor Networks, in Proceedings of International Conference on Distributed Computing Systems (ICDCS)'03, IEEE, Providence, Rhode Island.
  218. [218] Heinzelman, W., Chandrakasan, A., and Balakrishnan, H. (2000) Energy-Efficient Communication Protocols for Wireless Microsensor Networks, in Hawaiian International Conference on System Sciences.
  219. [219] Kulik, J., Heinzelman, W., and Balakrishnan, H. (2002) Negotiation-Based Protocols for Disseminating Information in Wireless Sensor Networks. ACM Wireless Networks (WINET), 8 (2/3), 169–185.
  220. [220] TinyOS (2010) http://www.tinyos.net/.
  221. [221] Akhmetshina, E., Gburzynski, P., and Vizeacoumar, F. (2002) PicOS: A Tiny Operating System for Extremely Small Embedded Platforms, in Proceedings of Conference on Embedded Systems and Applications (ESA)'02, Las Vegas, Nevada, pp. 116–122.
  222. [222] Sinha, A. and Chandrakasan, A. (2001) Operating System and Algorithmic Techniques for Energy Scalable Wireless Sensor Networks, in Proceedings of International Conference on Mobile Data Management, Hong Kong, pp. 199–209.
  223. [223] Barr, R., Bicket, J.C., Dantas, D.S., Du, B., Danny Kim, T.W., Zhou, B., and Sirer, E.G. (2002) On the Need for System-Level Support for Ad Hoc and Sensor Networks. ACM SIGOPS Operating Systems Review, 36 (2), 1–5.
  224. [224] Abrach, H., Bhatti, S., Carlson, J., Dai, H., Rose, J., Sheth, A., Shucker, B., Deng, J., and Han, R. (2003) MANTIS: System Support for Multimodal Networks of In-Situ Sensors, in Proceedings of Workshop on Wireless Sensor Networks and Applications (WSNA)'03, San Diego, California, pp. 50–59.
  225. [225] Min, R., Furrer, T., and Chandrakasan, A. (2000) Dynamic Voltage Scaling Techniques for Distributed Microsensor Networks, in Proceedings of the Workshop on VLSI (WVLSI), IEEE, Orlando, Florida, pp. 43–46.
  226. [226] Yuan, L. and Qu, G. (2002) Design Space Exploration for Energy-Efficient Secure Sensor Network, in Proceedings of the International Conference on Application-Specific Systems, Architectures, and Processors (ASAP), IEEE, San Jose, California, pp. 88–97.
  227. [227] Kogekar, S., Neema, S., Eames, B., Koutsoukos, X., Ledeczi, A., and Maroti, M. (2004) Constraint-Guided Dynamic Reconfiguration in Sensor Networks, in Proceedings of the 3rd International Symposium on Information Processing in Sensor Networks (IPSN), ACM, Berkeley, California, pp. 379–387.
  228. [228] Hwang, K. (1987) Advanced Parallel Processing with Supercomputer Architectures. Proceedings of the IEEE, 75 (10), 1348–1379.
  229. [229] Klietz, A., Malevsky, A., and Chin-Purcell, K. (1994) Mix-and-match High Performance Computing. IEEE Potentials, 13 (3), 6–10.
  230. [230] Pulleyblank, W. (2004) How to Build a Supercomputer. IEEE Review, 50 (1), 48–52.
  231. [231] Bokhari, S. and Saltz, J. (2010) Exploring the Performance of Massively Multithreaded Architectures. Concurrency and Computation: Practice & Experience, 22 (5), 588–616.
  232. [232] Feng, W.C. and Cameron, K. (2007) The Green500 List: Encouraging Sustainable Supercomputing. IEEE Computer, 40 (12), 38–44.
  233. [233] Top500 (2014) Top 500 Supercomputer Sites. http://www.top500.org/.
  234. [234] Green500 (2011) Ranking the World's Most Energy-Efficient Supercomputers. http://www.green500.org/.
  235. [235] Ahmad, I. and Ranka, S. (2011) Handbook of Energy-Aware and Green Computing, Taylor and Francis Group, CRC Press.
  236. [236] Kumar, R., Tullsen, D., Ranganathan, P., Jouppi, N., and Farkas, K. (2004) Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance, in Proceedings of IEEE ISCA, Munich, Germany.
  237. [237] Kumar, R., Tullsen, D., and Jouppi, N. (2006) Core Architecture Optimization for Heterogeneous Chip Multiprocessors, in Proceedings of ACM International Conference on Parallel Architectures and Compilation Techniques (PACT), Seattle, Washington.
  238. [238] Kumar, R., Jouppi, N., and Tullsen, D. (2004) Conjoined-core Chip Multiprocessing, in Proceedings of IEEE/ACM MICRO-37, Portland, Oregon.
  239. [239] Keckler, S., Olukotun, K., and Hofstee, H. (2009) Multicore Processors and Systems, Springer-Verlag.
  240. [240] Puttaswamy, K. and Loh, G. (2007) Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors, in Proceedings of IEEE HPCA, Phoenix, Arizona.
  241. [241] Pande, P., Ganguly, A., Belzer, B., Nojeh, A., and Ivanov, A. (2008) Novel Interconnect Infrastructures for Massive Multicore Chips - An Overview, in Proceedings of IEEE ISCAS, Seattle, Washington.
  242. [242] Narayanan, S., Sartori, J., Kumar, R., and Jones, D. (2010) Scalable Stochastic Processors, in Proceedings of IEEE/ACM DATE, Dresden, Germany.
  243. [243] Hill, M. (2010) Transactional Memory, in Synthesis Lectures on Computer Architecture. http://www.morganclaypool.com/toc/cac/1/1.
  244. [244] Guan, N., Stigge, M., Yi, W., and Yu, G. (2009) Cache-Aware Scheduling and Analysis for Multicores, in Proceedings of ACM EMSOFT, Grenoble, France.
  245. [245] Fide, S. (2008) Architectural Optimizations in Multi-Core Processors, VDM Verlag.
  246. [246] Chang, J. and Sohi, G. (2006) Cooperative Caching for Chip Multiprocessors, in Proceedings of ACM ISCA, Boston, Massachusetts.
  247. [247] Flautner, K., Kim, N., Martin, S., Blaauw, D., and Mudge, T. (2002) Drowsy Caches: Simple Techniques for Reducing Leakage Power, in Proceedings of IEEE/ACM ISCA, Anchorage, Alaska.
  248. [248] Lee, S.B., Tam, S.W., Pefkianakis, I., Lu, S.L., Chang, M., Guo, C., Reinman, G., Peng, C., Naik, M., Zhang, L., and Cong, J. (2009) A Scalable Micro Wireless Interconnect Structure for CMPs, in Proceedings of ACM MobiCom, Beijing, China.
  249. [249] Shacham, A., Bergman, K., and Carloni, L. (2008) Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors. IEEE Transactions on Computers, 57 (9), 1246–1260.
  250. [250] Pande, P., Ganguly, A., Chang, K., and Teuscher, C. (2009) Hybrid Wireless Network on Chip: A New Paradigm in Multi-Core Design, in Proceedings of IEEE NoCArc, New York, New York.
  251. [251] Kontorinis, V., Shayan, A., Tullsen, D., and Kumar, R. (2009) Reducing Peak Power with a Table-Driven Adaptive Processor Core, in Proceedings of IEEE/ACM MICRO-42, New York, New York.
  252. [252] Donald, J. and Martonosi, M. (2006) Techniques for Multicore Thermal Management: Classification and New Exploration, in Proceedings of IEEE ISCA, Boston, Massachusetts.
  253. [253] Jayaseelan, R. and Mitra, T. (2009) A Hybrid Local-Global Approach for Multi-Core Thermal Management, in Proceedings of IEEE/ACM ICCAD, San Jose, California.
  254. [254] Park, J., Shin, D., Chang, N., and Pedram, M. (2010) Accurate Modeling and Calculation of Delay and Energy Overheads of Dynamic Voltage Scaling in Modern High-Performance Microprocessors, in Proceedings of ACM/IEEE ISLPED, Austin, Texas.
  255. [255] ACPI (2011) Advanced Configuration and Power Interface. http://www.acpi.info/.
  256. [256] Lee, J. and Kim, N. (2009) Optimizing Throughput of Power- and Thermal-Constrained Multicore Processors Using DVFS and Per-Core Power-Gating, in Proceedings of IEEE/ACM DAC, San Francisco, California.
  257. [257] Freescale (2009) Green Embedded Computing and the MPC8536E PowerQUICC III Processor.http://www.freescale.com/files/32bit/doc/white_paper/MPC8536EWP.pdf.
  258. [258] Ge, R., Feng, X., Song, S., Chang, H.C., Li, D., and Cameron, K. (2010) PowerPack: Energy Profiling and Analysis of High-Performance Systems and Applications. IEEE Transactions on Parallel and Distributed Systems, 21 (5), 658–671.
  259. [259] Hoffmann, H., Sidiroglou, S., Carbin, M., Misailovic, S., Agarwal, A., and Rinard, M. (2011) Dynamic Knobs for Responsive Power-Aware Computing, in Proceedings of ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Newport Beach, California, March, 199–212.
  260. [260] Baek, W. and Chilimbi, T. (2010) Green: A Framework for Supporting Energy-Conscious Programming using Controlled Approximation, in Proceedings of ACM SIGPLAN PLDI, Toronto, Ontario, Canada.
  261. [261] Zhou, X., Yang, J., Chrobak, M., and Zhang, Y. (2010) Performance-aware Thermal Management via Task Scheduling. ACM Transactions on Architecture and Code Optimization (TACO), 7 (1), 5:1–5:31.
  262. [262] Jacobs, A., George, A., and Cieslewski, G. (2009) Reconfigurable Fault Tolerance: A Framework for Environmentally Adaptive Fault Mitigation in Space, in Proceedings of IEEE FPL, Prague, Czech Republic.
  263. [263] Sloan, J. and Kumar, R. (2009) Towards Scalable Reliability Frameworks for Error Prone CMPs, in Proceedings of ACM CASES, Grenoble, France.
  264. [264] Poulsen, D. and Yew, P.C. (1994) Data Prefetching and Data Forwarding in Shared Memory Multiprocessors, in Proceedings of IEEE ICPP, North Carolina State University, North Carolina.
  265. [265] Yan, L., Hu, W., Chen, T., and Huang, Z. (2008) Hardware Assistant Scheduling for Synergistic Core Tasks on Embedded Heterogeneous Multi-core System. Journal of Information & Computational Science, 5 (6), 2369–2373.
  266. [266] Chaparro, P., Gonzalez, J., Magklis, G., Cai, Q., and Gonzalez, A. (2007) Understanding the Thermal Implications of Multicore Architectures. IEEE Transactions on Parallel and Distributed Systems, 18 (8), 1055–1065.
  267. [267] Suo, G. and Yang, X.J. (2009) Balancing Parallel Applications on Multi-core Processors Based on Cache Partitioning, in Proceedings of IEEE ISPA, Chendu and JiuZhai Valley, China.
  268. [268] Jeon, H., Lee, W., and Chung, S. (2010) Load Unbalancing Strategy for Multi-Core Embedded Processors. IEEE Transactions on Computers, 59 (10), 1434–1440.
  269. [269] ARM (2011) ARM11 MPCore Processor Technical Reference Manual. http://infocenter.arm.com/help/topic/com.arm.doc.ddi0360e/DDI0360E_arm11_mpcore_r1p0_trm.pdf.
  270. [270] Hirata, K. and Goodacre, J. (2007) ARM MPCore: The Streamlined and Scalable ARM11 Processor Core, in Proceedings of IEEE ASP-DAC, Yokohama, Japan.
  271. [271] ARM (2011) White Paper: The ARM Cortex-A9 Processors. http://www.arm.com/files/pdf/ARMCortexA-9Processors.pdf.
  272. [272] ARM (2011) Cortex-A9 MPCore Technical Reference Manual. http://infocenter.arm.com/help/topic/com.arm.doc.ddi0407f/DDI0407F_cortex_a9_r2p2_mpcore_trm.pdf.
  273. [273] Freescale (2011) MPC8572E PowerQUICC III Processor. http://www.freescale.com/files/netcomm/doc/fact_sheet/MPC8572FS.pdf.
  274. [274] Freescale (2011) MPC8572E PowerQUICC III Integrated Processor Hardware Specifications. http://cache.freescale.com/files/32bit/doc/data_sheet/MPC8572EEC.pdf.
  275. [275] TILERA (2011) Manycore without Boundaries: TILEPro64 Processor. http://www.tilera.com/products/processors/TILEPRO64.
  276. [276] TILERA (2011) Manycore without Boundaries: TILE-Gx Processor Family. http://www.tilera.com/products/processors/TILE-Gx_Family.
  277. [277] AMD (2011) AMD Cool‘n’Quiet Technology. http://www.amd.com/us/products/technologies/cool-n-quiet/Pages/cool-n-quiet.aspx.
  278. [278] Intel (2011) High-Performance Energy-Efficient Processors for Embedded Market Segments. http://www.intel.com/design/embedded/downloads/315336.pdf.
  279. [279] Intel (2011) Intel Core 2 Duo Processor Maximizing Dual-Core Performance Efficiency. ftp://download.intel.com/products/processor/core2duo/mobile_prod_brief.pdf.
  280. [280] Intel (2011) Intel Xeon Processor LV 5148. http://ark.intel.com/Product.aspx?id=27223.
  281. [281] Intel (2011) Intel Microarchitecture Codename Sandy Bridge. http://www.intel.com/technology/architecture-silicon/2ndgen/index.htm.
  282. [282] Intel (2011) Intel's ‘Sandy Bridge’ Core processors. http://techreport.com/articles.x/20188.
  283. [283] Intel (2011) Intel Turbo Boost Technology 2.0. http://www.intel.com/technology/turboboost/.
  284. [284] NVIDIA (2011) NVIDIA Tesla C1060 Computing Processor. http://www.nvidia.com/object/product_tesla_c1060_us.html.
  285. [285] NVIDIA (2011) NVIDIA Tesla Personal Supercomputer. http://www.nvidia.com/docs/IO/43395/NV_DS_Tesla_PSC_US_Mar09_LowRes.pdf.
  286. [286] NVIDIA (2011) NVIDIA PowerMizer Technology. http://www.nvidia.com/object/feature_powermizer.html.
  287. [287] NVIDIA (2011) NVIDIA Tesla C2050/C2070 GPU Computing Processor. http://www.nvidia.com/object/product_tesla_C2050_C2070_us.html.
  288. [288] Berg, T. (2009) Maintaining I/O Data Coherence in Embedded Multicore Systems. IEEE Micro, 29 (3), 10–19.
  289. [289] Bournoutian, G. and Orailoglu, A. (2008) Miss Reduction in Embedded Processors through Dynamic, Power-Friendly Cache Design, in Proceedings of IEEE/ACM DAC, Anaheim, California.
  290. [290] SeaMicro (2011) The SM10000 Family. http://www.seamicro.com/.
  291. [291] AMAX (2011) High Performance Computing: ClusterMax SuperG Tesla GPGPU HPC Solutions. http://www.amax.com/hpc/productdetail.asp?product_id=superg.
  292. [292] Koka, P., McCracken, M., Schwetman, H., Zheng, X., Ho, R., and Krishnamoorthy, A. (2010) Silicon-photonic Network Architectures for Scalable, Power-efficient Multi-chip systems, in Proceedings of ACM/IEEE ISCA, Saint-Malo, France.
  293. [293] Asghari, M. and Krishnamoorthy, A. (2011) Silicon Photonics: Energy-efficient Communication. Nature Photonics, 5, 268–270.
  294. [294] Lee, C.W., Yun, S.R.N., Yu, C.G., Park, J.T., and Colinge, J.P. (2007) Device Design Guidelines for Nano-scale MuGFETs. Elsevier Solid-State Electronics, 51 (3), 505–510.
  295. [295] Collange, S., Defour, D., and Tisserand, A. (2009) Power Consumption of GPUs from a Software Perspective, in Proceedings of ACM ICCS, Baton Rouge, Louisiana.
  296. [296] Horton, M. (2004) Commercial Wireless Sensor Networks: Status, Issues and Challenges, in Proceedings of IEEE SECON: Keynote Presentation, Santa Clara, California.
  297. [297] Greene, K. (2006) Sensor Networks for Dummies. Technology Review (published by MIT).
  298. [298] Seong, C.Y. and Widrow, B. (2001) Neural Dynamic Optimization for Control Systems. IEEE Transactions on Systems, Man, and Cybernetics, 31 (4), 482–489.
  299. [299] Stevens-Navarro, E., Lin, Y., and Wong, V. (2008) An MDP-based Vertical Handoff Decision Algorithm for Heterogeneous Wireless Networks. IEEE Transactions on Vehicular Technology, 57 (2), 1243–1254.
  300. [300] Sridharan, S. and Lysecky, S. (2008) A First Step Towards Dynamic Profiling of Sensor-Based Systems, in Proceedings of the Conference on Sensor, Mesh and Ad Hoc Communications and Networks (SECON), IEEE, San Francisco, California, pp. 600–602.
  301. [301] Tilak, S., Abu-Ghazaleh, N., and Heinzelman, W. (2002) Infrastructure Tradeoffs for Sensor Networks, in Proceedings of the 1st ACM International Workshop on Wireless Sensor Networks and Applications, Atlanta, Georgia.
  302. [302] Kadayif, I. and Kandemir, M. (2004) Tuning In-Sensor Data Filtering to Reduce Energy Consumption in Wireless Sensor Networks, in Proceedings of ACM DATE, Paris, France.
  303. [303] Marrón, P., Lachenmann, A., Minder, D., Hähner, J., Rothermel, K., and Becker, C. (2004) Adaptation and Cross-Layer Issues in Sensor Networks, in Proceedings of IEEE ISSNIP, December.
  304. [304] Vecchio, A. (2008) Adaptability in Wireless Sensor Networks, in Proceedings of IEEE ICECS, September.
  305. [305] ITA (2010) International Technology Alliance in Network and Information Science. http://www.usukita.org/.
  306. [306] Pillai, P. and Shin, K. (2001) Real-Time Dynamic Voltage Scaling for Low-Power Embedded Operating Systems, in Proceedings of ACM SOSP, Banff, Alberta, Canada.
  307. [307] Childers, B., Tang, H., and Melhem, R. (2001) Adapting Processor Supply Voltage to Instruction-Level Parallelism, in Proceedings of Koolchips Workshop, in conjunction with MICRO-33, Monterey, California.
  308. [308] Liu, D. and Svensson, C. (1993) Trading Speed for Low Power by Choice of Supply and Threshold Voltages. IEEE Journal of Solid-State Circuits, 28 (1), 10–17.
  309. [309] Burd, T., Pering, T., Stratakos, A., and Brodersen, R. (2000) A Dynamic Voltage Scaled Microprocessor System. IEEE Journal of Solid-State Circuits, 35 (11), 1571–1580.
  310. [310] Lysecky, S. and Vahid, F. (2006) Automated Application-Specific Tuning of Parameterized Sensor-Based Embedded System Building Blocks, in Proceedings of the International Conference on Ubiquitous Computing (UbiComp), Orange County, California, pp. 507–524.
  311. [311] Verma, R. (2008) Automated Application Specific Sensor Network Node Tuning for Non-Expert Application Developers. Master's Thesis, Department of Electrical and Computer Engineering, University of Arizona.
  312. [312] Munir, A., Gordon-Ross, A., Lysecky, S., and Lysecky, R. (2010) A Lightweight Dynamic Optimization Methodology for Wireless Sensor Networks, in Proceedings of IEEE International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), Niagara Falls, Canada, October 2010.
  313. [313] Puterman, M. (2005) Markov Decision Processes: Discrete Stochastic Dynamic Programming, John Wiley & Sons, Inc.
  314. [314] Lane, T. (2011) MDP Policy Iteration Lecture. http://www.cs.unm.edu/terran/.
  315. [315] Dutta, P., Grimmer, M., Arora, A., Bibyk, S., and Culler, D. (2005) Design of a Wireless Sensor Network Platform for Detecting Rare, Random, and Ephemeral Events, in Proceedings of ACM IPSN, Los Angeles, California.
  316. [316] Munir, A., Gordon-Ross, A., Lysecky, S., and Lysecky, R. (2010) A Lightweight Dynamic Optimization Methodology for Wireless Sensor Networks, in Proceedings of IEEE WiMob.
  317. [317] Yu, F. and Krishnamurthy, V. (2007) Optimal Joint Session Admission Control in Integrated WLAN and CDMA Cellular Networks with Vertical Handoff. IEEE Transactions on Mobile Computing, 6 (1), 126–139.
  318. [318] Chadès, I., Cros, M., Garcia, F., and Sabbadin, R. (2005) Markov Decision Process (MDP) Toolbox v2.0 for MATLAB, in INRA Toulouse, INRA, France. http://www.inra.fr/internet/Departements/MIA/T/MDPtoolbox/.
  319. [319] Dutta, P. and Culler, D. (2005) System Software Techniques for Low-Power Operation in Wireless Sensor Networks, in Proceedings of IEEE/ACM ICCAD, San Jose, California.
  320. [320] Honeywell (2009) Honeywell 1- and 2- Axis Magnetic Sensors HMC1001/1002, and HMC1021/1022 Datasheet, in Honeywell International Inc., Morristown, New Jersey. http://www.ssec.honeywell.com/magnetic/datasheets/hmc1001-2_1021-2.pdf.
  321. [321] DPOP (2010) Dynamic Profiling and Optimization (DPOP) for Sensor Networks. http://www.ece.arizona.edu/dpop/.
  322. [322] Huber, M.F., Kuwertz, A., Sawo, F., and Hanebeck, U.D. (2009) Distributed Greedy Sensor Scheduling for Model-based Reconstruction of Space-Time Continuous Physical Phenomenon, in Proceedings of the International Conference on Information Fusion (FUSION), IEEE, Seattle, Washington, pp. 102–109.
  323. [323] Xu, L. and Oja, E. (1990) Improved Simulated Annealing, Boltzmann Machine, and Attributed Graph Matching, in Proceedings of the EURASIP Workshop on Neural Networks, Springer-Verlag, pp. 151–160.
  324. [324] Kirkpatrick, S., Gelatt, C., and Vecchi, M. (1983) Optimization by Simulated Annealing. Science, 220 (4598), 671–680.
  325. [325] Ben-Ameur, W. (2004) Computing the Initial Temperature of Simulated Annealing. Computational Optimization and Applications, 29 (3), 369–385.
  326. [326] Xeon (2010) Intel Xeon Processor E5430. http://processorfinder.intel.com/details.aspx?sSpec=SLANU.
  327. [327] Linux (2010) Linux Man Pages. http://linux.die.net/man/.
  328. [328] cplusplus.com. (2010) C++ Reference Library, in cplusplus.com. http://cplusplus.com/reference/clibrary/ctime/clock/.
  329. [329] Gordon-Ross, A., Vahid, F., and Dutt, N. (2009) Fast Configurable-Cache Tuning With a Unified Second-Level Cache. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17 (1), 80–91.
  330. [330] Zhang, C., Vahid, F., and Lysecky, R. (2004) A Self-Tuning Cache Architecture for Embedded Systems. ACM Transactions on Embedded Computing Systems, 3 (2), 407–425.
  331. [331] Shenoy, A., Hiner, J., Lysecky, S., Lysecky, R., and Gordon-Ross, A. (2010) Evaluation of Dynamic Profiling Methodologies for Optimization of Sensor Networks. IEEE Embedded Systems Letters, 2 (1), 10–13.
  332. [332] Wang, X., Ma, J., and Wang, S. (2006) Collaborative Deployment Optimization and Dynamic Power Management in Wireless Sensor Networks, in Proceedings of the International Conference on Grid and Cooperative Computing (GCC), IEEE, Changsha, Hunan, China, pp. 121–128.
  333. [333] Ning, X. and Cassandras, C. (2008) Optimal Dynamic Sleep Time Control in Wireless Sensor Networks, in Proceedings of the Conference on Decision and Control (CDC), IEEE, Cancun, Mexico, pp. 2332–2337.
  334. [334] Brown, R. and Sharapov, I. (2008) Performance and Programmability Comparison Between OpenMP and MPI Implementations of a Molecular Modeling Application, Lecture Notes in Computer Science, Vol. 4315, Springer-Verlag, pp. 349–360.
  335. [335] Sun, X. and Zhu, J. (1995) Performance Considerations of Shared Virtual Memory Machines. IEEE Transactions on Parallel and Distributed Systems (TPDS), 6 (11), 1185–1194.
  336. [336] Lively, C., Wu, X., Taylor, V., Moore, S., Chang, H.C., and Cameron, K. (2011) Energy and Performance Characteristics of Different Parallel Implementations of Scientific Applications on Multicore Systems. International Journal of High Performance Computing Applications.
  337. [337] Bikshandi, G., Guo, J., Hoeflinger, D., Almasiy, G., Fraguelaz, B., Garzaran, M., Padua, D., and von Prauny, C. (2006) Programming for Parallelism and Locality with Hierarchically Tiled Arrays, in Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP), Manhattan, New York City, New York.
  338. [338] Zhu, W., Cuvillo, J., and Gao, G. (2008) Performance Characteristics of OpenMP Language Constructs on a Many-core-on-a-chip Architecture, in Proceedings of the 2005 and 2006 International Conference on OpenMP Shared Memory Parallel Programming, Springer-Verlag, Berlin, Heidelberg, IWOMP'05/IWOMP'06, pp. 230–241. http://portal.acm.org/citation.cfm?id=1892830.1892855.
  339. [339] Kak, A. (2012) Parallel Histogram-based Particle Filter for Object Tracking on SIMD-based Smart Cameras, in Purdue Robot Vision Lab, Purdue University, West Lafayette, Indiana. https://engineering.purdue.edu/RVL/Research/SIMD_PF/index.html.
  340. [340] Intel (2012) Intel Xeon Processor E5430. http://ark.intel.com/Product.aspx?id=33081.
  341. [341] Intel (2008) Quad-Core Intel Xeon Processor 5400 Series Datasheet. http://www.intel.com/assets/PDF/datasheet/318589.pdf.
  342. [342] TILERA (2012) Manycore without Boundaries: TILE64 Processor. http://www.tilera.com/products/processors/TILE64.
  343. [343] TILERA (2012) Manycore without Boundaries: TILEPro64 Processor. http://www.tilera.com/products/processors/TILEPRO64.
  344. [344] IBM (2012) Linux and Symmetric Multiprocessing. http://www.ibm.com/developerworks/library/l-linux-smp/.
  345. [345] Android (2012) SensorEvent. http://developer.android.com/reference/android/hardware/SensorEvent.html.
  346. [346] Top500 (2012) Top 500 Supercomputer Sites. http://www.top500.org/.
  347. [347] LINPACK (2012) LINPACK Benchmarks. http://en.wikipedia.org/wiki/LINPACK.
  348. [348] NPB (2012) NASA Advanced Supercomputing (NAS) Parallel Benchmarks. http://www.nas.nasa.gov/Resources/Software/npb.html.
  349. [349] JavaDoc (2012) Class Flops: Counting floating point operations. http://ai.stanford.edu/paskin/slam/javadoc/javaslam/util/Flops.html.
  350. [350] Kumar, V., Grama, A., Gupta, A., and Karypis, G. (1994) Introduction to Parallel Computing, The Benjamin/Cummings Publishing Company, Inc.
  351. [351] Williams, J., Massie, C., George, A., Richardson, J., Gosrani, K., and Lam, H. (2010) Characterization of Fixed and Reconfigurable Multi-Core Devices for Application Acceleration. ACM Transactions on Reconfigurable Technology and Systems, 3 (4), 19:1–19:29.
  352. [352] TILERA (2009) Tile Processor Architecture Overview for the TILEPro Series, in Tilera Official Documentation.
  353. [353] TILERA (2009) Tile Processor Architecture Overview, in Tilera Official Documentation.
  354. [354] Richardson, J., Fingulin, S., Raghunathan, D., Massie, C., George, A., and Lam, H. (2010) Comparative Analysis of HPC and Accelerator Devices: Computation, Memory, I/O, and Power, in Proceedings of the IEEE Workshop on HPRCTA, New Orleans, Louisiana.
  355. [355] TILERA (2010) TILEmPower Appliance User's Guide, in Tilera Official Documentation.
  356. [356] Munir, A., Koushanfar, F., Gordon-Ross, A., and Ranka, S. (2013) High-Performance Optimizations on Tiled Many-Core Embedded Systems: A Matrix Multiplication Case Study. Journal of Supercomputing, 66 (1), 431–487.
  357. [357] Asanovic, K., Bodik, R., Demmel, J., Keaveny, T., Keutzer, K., Kubiatowicz, J., Morgan, N., Patterson, David Sen, K., Wawrzynek, J., Wessel, D., and Yelick, K. (2009) A View of the Parallel Computing Landscape. Communications of the ACM, 52 (10).
  358. [358] Yuan, N., Zhou, Y., Tan, G., Zhang, J., and Fan, D. (2009) High Performance Matrix Multiplication on Many Cores, in Proceedings of the 15th International Euro-Par Conference on Parallel Processing (Euro-Par'09), Delft, The Netherlands.
  359. [359] MAXIMUMPC (2007) Fast Forward: Multicore vs. Manycore. http://www.maximumpc.com/article/fast_forward_multicore_vs_manycore.
  360. [360] Wikipedia (2013) Multi-core processor. http://en.wikipedia.org/wiki/Manycore.
  361. [361] Tilera (2013) Tilera Cloud Computing. http://www.tilera.com/solutions/cloud_computing.
  362. [362] Tilera (2013) Tilera TILEmpower Platform. http://www.tilera.com/sites/default/files/productbriefs/TILEProEmpower_PB021_v4.pdf.
  363. [363] Levy, M. and Conte, T. (2009) Embedded Multicore Processors and Systems. IEEE Micro, 29 (3), 7–9.
  364. [364] Cuvillo, J.d., Zhu, W., and Gao, G.R. (2006) Landing OpenMP on Cyclops-64: An Efficient Mapping of OpenMP to a Many-Core System-on-a-Chip, in Proceedings of ACM 3rd conference on Computing frontiers (CF), Ischia, Italy.
  365. [365] Vangal, S.R., Howard, J., Ruhl, G., Dighe, S., Wilson, H., Tschanz, J., Finan, D., Singh, A., Jacob, T., Jain, S., Erraguntla, V., Roberts, C., Hoskote, Y., Borkar, N., and Borkar, S. (2008) An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS. IEEE Journal of Solid-State Circuits, 43 (1), 29–41.
  366. [366] Musoll, E. (2010) A Cost-Effective Load-Balancing Policy for Tile-Based, Massive Multi-Core Packet Processors. ACM Transactions on Embedded Computing Systems, 9 (3).
  367. [367] Wu, N., Yang, Q., Wen, M., He, Y., Ren, J., Guan, M., and Zhang, C. (2011) Tiled Multi-Core Stream Architecture. Transactions on High-Performance Embedded Architectures and Compilers IV (HiPEAC IV), 4, 274–293.
  368. [368] Mattson, T.G., Wijngaart, R.V.d., and Frumkin, M. (2008) Programming the Intel 80-core network-on-a-chip Terascale Processor, in Proceedings of IEEE/ACM conference on Supercomputing (SC), Austin, Texas.
  369. [369] Crowell, T. (2011) Will 2011 mark the beginning of manycore? http://talbottcrowell.wordpress.com/2011/01/01/manycore/.
  370. [370] Cortesi, D. (1998) Origin2000 and Onyx2 Performance Tuning and Optimization Guide. http://techpubs.sgi.com/library/dynaweb_docs/0640/SGI_Developer/books/OrOn2_PfTune/sgi_html/index.html.
  371. [371] Krishnan, M. and Nieplocha, J. (2004) SRUMMA: A Matrix Multiplication Algorithm Suitable for Clusters and Scalable Shared Memory Systems, in Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS), Santa Fe, New Mexico.
  372. [372] Lee, H.J., Robertson, J.P., and Fortes, J. (1997) Generalized Cannon's Algorithm for Parallel Matrix Multiplication, in Proceedings of the ACM International Conference on Supercomputing (ICS), Vienna, Austria, pp. 44–51.
  373. [373] van de Geijn, R.A. and Watts, J. (1995) SUMMA: Scalable universal matrix multiplication algorithm, Tech. Rep., University of Texas at Austin. http://www.ncstrl.org:8900/ncstrl/servlet/search?formname=detail&id=oai%3Ancstrlh%3Autexas_cs%3AUTEXAS_CS%2F%2FCS-TR-95-13.
  374. [374] Li, J., Ranka, S., and Sahni, S. (2012) Gpu matrix multiplication, in Handbook on Multicore Computing (ed. S. Rajasekaran), CRC Press.
  375. [375] More, A. (2008) A Case Study on High Performance Matrix Multiplication. mm-matrixmultiplicationtool.googlecode.com/files/mm.pdf.
  376. [376] Higham, N. (1990) Exploiting Fast Matrix Multiplication Within the Level 3 BLAS. ACM Transactions on Mathematical Software, 16 (4), 352–368.
  377. [377] Goto, K. and Geijn, R. (2008) Anatomy of High-Performance Matrix Multiplication. ACM Transactions on Mathematical Software, 34 (3).
  378. [378] Nishtala, R., Vuduc, R.W., Demmel, J.W., and Yelick, K.A. (2004) Performance modeling and analysis of cache blocking in sparse matrix vector multiply, Tech. Rep. UCB/CSD-04-1335, EECS Department, University of California, Berkeley. http://www.eecs.berkeley.edu/Pubs/TechRpts/2004/5535.html.
  379. [379] Lam, M.D., Rothberg, E.E., and Wolf, M.E. (1991) The Cache Performance and Optimizations of Blocked Algorithms, in Proceedings of the fourth ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Santa Clara, California, pp. 63–74.
  380. [380] Rixner, S. (2002) Stream Processor Architecture, Kluwer Academic Publishers.
  381. [381] Zhu, W., Cuvillo, J.d., and Gao, G.R. (2005) Performance Characteristics of OpenMP Language Constructs on a Many-core-on-a-chip Architecture, in Proceedings of the 2005 and 2006 International Conference on OpenMP Shared Memory Parallel Programming (IWOMP'05/IWOMP'06), Eugene, Oregon.
  382. [382] Garcia, E., Venetis, I., Khan, R., and Gao, G. (2010) Optimized Dense Matrix Multiplication on a Many-Core Architecture, in Proceedings of the ACM Euro-Par conference on Parallel processing.
  383. [383] Safari, S., Fijany, A., Diotalevi, F., and Hosseini, F. (2012) Highly Parallel and Fast Implementation of Stereo Vision Algorithms on MIMD Many-Core Tilera Architecture, in Proceedings of the IEEE Aerospace Conference, Boston, Massachusetts, pp. 1–11.
  384. [384] Munir, A., Gordon-Ross, A., and Ranka, S. (2012) Parallelized benchmark-driven performance evaluation of SMPs and tiled multi-core architectures for embedded systems, in Proceedings of IEEE International Performance Computing and Communications Conference (IPCCC), Austin, Texas.
  385. [385] Intel (2013) Intel's Teraflops Research Chip. http://download.intel.com/pressroom/kits/Teraflops/Teraflops_Research_Chip_Overview.pdf.
  386. [386] Hoskote, Y., Vangal, S., Singh, A., Borkar, N., and Borkar, S. (2007) A 5-GHz Mesh Interconnect for a TeraFLOPS Processor. IEEE Micro, 27 (5), 51–61.
  387. [387] TILERA (2010) Multicore Development Environment System Programmer's Guide, in Tilera Official Documentation.
  388. [388] TILERA (2010) Multicore Development Environment Optimization Guide, in Tilera Official Documentation.
  389. [389] ARM (2012) Cortex-A15 MPCore: Technical Reference Manual. http://infocenter.arm.com/help/topic/com.arm.doc.ddi0438e/DDI0438E_cortex_a15_r3p0_trm.pdf.
  390. [390] Oracle (2013) Sun Studio 12: Fortran Programming Guide. http://docs.oracle.com/cd/E19205-01/819-5262/aeuic/index.html.
  391. [391] Mahlke, S., Warter, N., Chen, W., Chang, P., and Hwu, W.m. (1991) The Effect of Compiler Optimizations on Available Parallelism in Scalar Programs, in Proceedings of 20th Annual IEEE International Conference on Parallel Processing (ICPP), Austin, Texas.
..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset