63
Bibliography
2nd Cache Replacement Championship, 2017. http://crc2.ece.tamu.edu/ 34
Jaume Abella, Antonio González, Xavier Vera, and Michael F. P. O’Boyle. IATAC: A smart
predictor to turn-off l2 cache lines. ACM Transactions on Architecture and Code Optimization
(TACO), 2(1):55–77, 2005. DOI: 10.1145/1061267.1061271 25, 26
Jorge Albericio, Pablo Ibáñez, Víctor Viñals, and José M. Llabería. e reuse cache: Downsizing
the shared last-level cache. In Proc. of the 46th Annual IEEE/ACM International Symposium
on Microarchitecture, pages 310–321, 2013. DOI: 10.1145/2540708.2540735 34
Akhil Arunkumar and Carole-Jean Wu. ReMAP: Reuse and memory access cost aware eviction
policy for last level cache management. In IEEE 32nd International Conference on Computer
Design (ICCD), pages 110–117, 2014. DOI: 10.1109/iccd.2014.6974670 42
J.-L. Baer and W.-H. Wang. On the inclusion properties for multi-level cache hierarchies. In
Proc. of the 15th Annual International Symposium on Computer Architecture, pages 73–80, 1988.
DOI: 10.1109/isca.1988.5212 53
Nathan Beckmann and Daniel Sanchez. Maximizing cache performance under uncer-
tainty. In IEEE International Symposium on High Performance Computer Architecture (HPCA),
pages 109–120, 2017. DOI: 10.1109/hpca.2017.43 5, 25, 35, 36
Laszlo A. Belady. A study of replacement algorithms for a virtual-storage computer. IBM
Systems Journal, pages 78–101, 1966. DOI: 10.1147/sj.52.0078 31, 35
Bryan Black. Die stacking is happening. In International Symposium on Microarchitecture, Davis,
CA, 2013. 56
Bryan Black, Murali Annavaram, Ned Brekelbaum, John DeVale, Lei Jiang, Gabriel H. Loh,
Don McCaule, Pat Morrow, Donald W. Nelson, Daniel Pantuso, et al. Die stacking (3D)
microarchitecture. In Proc. of the 39th Annual IEEE/ACM International Symposium on Mi-
croarchitecture, pages 469–479, IEEE Computer Society, 2006. DOI: 10.1109/micro.2006.18
56
Burton H. Bloom. Space/time trade-offs in hash coding with allowable errors. Communications
of the ACM, 13(7):422–426, 1970. DOI: 10.1145/362686.362692 34
64 BIBLIOGRAPHY
Chiachen Chou, Aamer Jaleel, and Moinuddin K. Qureshi. Bear: Techniques for mitigating
bandwidth bloat in gigascale dram caches. In ACM SIGARCH Computer Architecture News,
vol. 43, pages 198–210, 2015. DOI: 10.1145/2749469.2750387 56
Edward Grady Coffman and Peter J. Denning. Operating Systems eory, vol. 973, Prentice
Hall, Englewood Cliffs, NJ, 1973. 19
Peter J. Denning. rashing: Its causes and prevention. In Proc. of the Fall Joint Computer Confer-
ence, Part I, pages 915–922, ACM, December 9–11, 1968. DOI: 10.1145/1476589.1476705
9, 10
Nam Duong, Dali Zhao, Taesu Kim, Rosario Cammarota, Mateo Valero, and Alexander V.
Veidenbaum. Improving cache management policies using dynamic reuse distances. In 45th
Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 389–400,
2012. DOI: 10.1109/micro.2012.43 16
Priyank Faldu and Boris Grot. Leeway: Addressing variability in dead-block prediction for
last-level caches. In Proc. of the 26th International Conference on Parallel Architectures and Com-
pilation Techniques, pages 180–193, 2017. DOI: 10.1109/pact.2017.32 26
Brian Fields, Shai Rubin, and Rastislav Bodík. Focusing processor policies via critical-path pre-
diction. In Proc. of the 28th Annual International Symposium on Computer Architecture, (ISCA),
pages 74–85, ACM, 2001. DOI: 10.1145/379240.379253 43, 44
Shinobu Fujita, Hiroki Noguchi, Kazutaka Ikegami, Susumu Takeda, Kumiko Nomura, and
Keiko Abe. Novel memory hierarchy with e-STT-MRAM for near-future applications.
In VLSI Design, Automation and Test (VLSI-DAT), International Symposium on, pages 1–2,
IEEE, 2017. DOI: 10.1109/vlsi-dat.2017.7939700 55
Hongliang Gao and Chris Wilkerson. A dueling segmented LRU replacement algorithm with
adaptive bypassing. In JWAC, 1st JILP Workshop on Computer Architecture Competitions: Cache
Replacement Championship, 2010. 13
Jayesh Gaur, Alaa R. Alameldeen, and Sreenivas Subramoney. Base-victim compression: An
opportunistic cache compression architecture. In Computer Architecture (ISCA), ACM/IEEE
43rd Annual International Symposium on, pages 317–328, 2016. DOI: 10.1109/isca.2016.36
54
Jim Handy. e Cache Memory Book. Morgan Kaufmann, 1998. 10
Zhigang Hu, Stefanos Kaxiras, and Margaret Martonosi. Timekeeping in the memory system:
Predicting and optimizing memory behavior. In Computer Architecture, Proceedings. 29th An-
nual International Symposium on, pages 209–220, IEEE, 2002. DOI: 10.1145/545214.545239
25, 26
BIBLIOGRAPHY 65
Yasuo Ishii, Mary Inaba, and Kei Hiraki. Access map pattern matching for high performance
data cache prefetch. Journal of Instruction-Level Parallelism, 13:1–24, 2011. 49
Yasuo Ishii, Mary Inaba, and Kei Hiraki. Unified memory optimizing architecture: Memory
subsystem control with a unified predictor. In Proc. of the 26th ACM International Conference
on Supercomputing, pages 267–278, 2012. DOI: 10.1145/2304576.2304614 49
Akanksha Jain and Calvin Lin. Back to the future: Leveraging beladys algorithm for improved
cache replacement. In Proc. of the International Symposium on Computer Architecture (ISCA),
June 2016. DOI: 10.1109/isca.2016.17 7, 19, 31, 32, 52, 59
Akanksha Jain and Calvin Lin. Rethinking beladys algorithm to accommodate prefetch-
ing. In ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA),
pages 110–123, 2018. DOI: 10.1109/isca.2018.00020 49, 50, 51, 52
Aamer Jaleel, William Hasenplaugh, Moinuddin Qureshi, Julien Sebot, Simon Steely, Jr., and
Joel Emer. Adaptive insertion policies for managing shared caches. In 17th International
Conference on Parallel Architectures and Compilation Techniques (PACT), pages 208–219, 2008.
DOI: 10.1145/1454115.1454145 47
Aamer Jaleel, Eric Borch, Malini Bhandaru, Simon C. Steely Jr., and Joel Emer. Achiev-
ing non-inclusive cache performance with inclusive caches: Temporal locality aware (TLA)
cache management policies. In Proc. of the 43rd Annual IEEE/ACM International Symposium
on Microarchitecture, pages 151–162, IEEE Computer Society, 2010a. DOI: 10.1109/mi-
cro.2010.52 53
Aamer Jaleel, Kevin B. eobald, Simon C. Steely Jr., and Joel Emer. High performance cache
replacement using re-reference interval prediction (RRIP). In Proc. of the International Sympo-
sium on Computer Architecture (ISCA), pages 60–71, 2010b. DOI: 10.1145/1815961.1815971
9, 14, 15, 21, 25, 30, 54
Jaeheon Jeong and Michel Dubois. Cache replacement algorithms with nonuniform miss costs.
IEEE Transactions on Computers, 55(4):353–365, 2006. DOI: 10.1109/tc.2006.50 39, 40, 41
Djordje Jevdjic, Stavros Volos, and Babak Falsafi. Die-stacked dram caches for servers: Hit
ratio, latency, or bandwidth? Have it all with footprint cache. ACM SIGARCH Computer
Architecture News, 41:404–415, 2013. DOI: 10.1145/2508148.2485957 56, 57
Djordje Jevdjic, Gabriel H. Loh, Cansu Kaynak, and Babak Falsafi. Unison cache: A scal-
able and effective die-stacked dram cache. In Proc. of the 47th Annual IEEE/ACM Interna-
tional Symposium on Microarchitecture, pages 25–37, IEEE Computer Society, 2014. DOI:
10.1109/micro.2014.51 56, 57
66 BIBLIOGRAPHY
Xiaowei Jiang, Niti Madan, Li Zhao, Mike Upton, Ravishankar Iyer, Srihari Makineni,
Donald Newell, Yan Solihin, and Rajeev Balasubramonian. Chop: Adaptive filter-
based dram caching for CMP server platforms. In HPCA-16 e 16th International
Symposium on High-Performance Computer Architecture, pages 1–12, IEEE, 2010. DOI:
10.1109/hpca.2010.5416642 56, 57
Daniel A. Jiménez. Insertion and promotion for tree-based PseudoLRU last-level caches. In
46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 284–
296, 2013. DOI: 10.1145/2540708.2540733 17, 18
Daniel A. Jiménez and Elvira Teran. Multiperspective reuse prediction. In Proc. of the 50th
Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 436–448,
2017. DOI: 10.1145/3123939.3123942 25, 33
Ramakrishna Karedla, J. Spencer Love, and Bradley G. Wherry. Caching strategies to improve
disk system performance. Computer, (3):38–46, 1994. DOI: 10.1109/2.268884 12, 13
Stefanos Kaxiras, Zhigang Hu, and Margaret Martonosi. Cache decay: Exploiting generational
behavior to reduce cache leakage power. In Computer Architecture. Proc. of the 28th Annual
International Symposium on, pages 240–251, IEEE, 2001. DOI: 10.1109/isca.2001.937453
25
Georgios Keramidas, Pavlos Petoumenos, and Stefanos Kaxiras. Cache replacement based
on reuse-distance prediction. In 25th International Conference on Computer Design (ICCD),
pages 245–250, 2007. DOI: 10.1109/iccd.2007.4601909 27
Samira Khan, Yingying Tian, and Daniel A. Jiménez. Sampling dead block prediction for
last-level caches. In 43rd Annual IEEE/ACM International Symposium on Microarchitecture
(MICRO), pages 175–186, 2010. DOI: 10.1109/micro.2010.24 28, 29, 55
Mazen Kharbutli and Rami Sheikh. Lacs: A locality-aware cost-sensitive cache replacement al-
gorithm. IEEE Transactions on Computers, 63(8):1975–1987, 2014. DOI: 10.1109/tc.2013.61
42
Mazen Kharbutli and Yan Solihin. Counter-based cache replacement algorithms. In
Proc. of the International Conference on Computer Design (ICCD), pages 61–68, 2005. DOI:
10.1109/iccd.2005.41 25, 26, 35
Jinchun Kim, Elvira Teran, Paul V. Gratz, Daniel A. Jiménez, Seth H. Pugsley, and Chris
Wilkerson. Kill the program counter: Reconstructing program behavior in the proces-
sor cache hierarchy. In Proc. of the 22nd International Conference on Architectural Support
for Programming Languages and Operating Systems (ASPLOS), pages 737–749, 2017. DOI:
10.1145/3037697.3037701 49
BIBLIOGRAPHY 67
Kunal Korgaonkar, Ishwar Bhati, Huichu Liu, Jayesh Gaur, Sasikanth Manipatruni, Sreenivas
Subramoney, Tanay Karnik, Steven Swanson, Ian Young, and Hong Wang. Density tradeoffs
of non-volatile memory as a replacement for SRAM based last level cache. In Proc. of the 45th
Annual International Symposium on Computer Architecture, pages 315–327, IEEE Press, 2018.
DOI: 10.1109/isca.2018.00035 55
An-Chow Lai and Babak Falsafi. Selective, accurate, and timely self-invalidation using last-
touch prediction. In e 27th International Symposium on Computer Architecture (ISCA),
pages 139–148, 2000. DOI: 10.1145/339647.339669 28
An-Chow Lai, Cem Fide, and Babak Falsafi. Dead-block prediction and dead-block correlat-
ing prefetchers. In Proc. of the 28th Annual International Symposium on Computer Architecture
(ISCA), 2001. DOI: 10.1145/379240.379259 25
D. Lee, J. Choi, J. H. Kim, S. H. Noh, S. L. Min, Y. Cho, and C. S. Kim. LRFU: A spectrum
of policies that subsumes the Least Recently Used and Least Frequently Used policies. IEEE
Transactions on Computers, pages 1352–1361, 2001. DOI: 10.1109/tc.2001.970573 19, 20,
21
Donghee Lee, Jongmoo Choi, Jong-Hun Kim, Sam H. Noh, Sang Lyul Min, Yookun Cho,
and Chong Sang Kim. On the existence of a spectrum of policies that subsumes the least
recently used (LRU) and least frequently used (LFU) policies. ACM SIGMETRICS Per-
formance Evaluation Review, vol. 27, pages 134–143, 1999. DOI: 10.1145/301464.301487
21
Haiming Liu, Michael Ferdman, Jaehyuk Huh, and Doug Burger. Cache bursts: A new
approach for eliminating dead blocks and increasing cache efficiency. In 41st Annual
IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 222–233, 2008.
DOI: 10.1109/micro.2008.4771793 26
Madhavan Manivannan, Vassilis Papaefstathiou, Miquel Pericas, and Per Stenstrom. Radar:
Runtime-assisted dead region management for last-level caches. In IEEE International
Symposium on High Performance Computer Architecture (HPCA)
, pages 644–656, 2016.
DOI:
10.1109/hpca.2016.7446101 48
R. L. Mattson, J. Gegsei, D. R. Slutz, and I. L. Traiger. Evaluation techniques for storage
hierarchies. IBM Systems Journal, 9(2):78–117, 1970. DOI: 10.1147/sj.92.0078 9
Nimrod Megiddo and Dharmendra S. Modha. ARC: A Self-tuning low overhead replacement
cache. In FAST ’03 Proceedings of the 2nd USENIX Conference on File and Storage Technolgies,
3:115–130, 2003. 22, 23
Anant Vithal Nori, Jayesh Gaur, Siddharth Rai, Sreenivas Subramoney, and Hong Wang. Crit-
icality aware tiered cache hierarchy: A fundamental relook at multi-level cache hierarchies. In
..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset