52 5. RICHER CONSIDERATIONS
0
10
20
30
40
50
60
70
80
90
100
0 20 40 60 80 100
% Increase in
memory traffic (lower is better)
Demand hit rate % (higher is better)
astar_163B
sphinx3_883B
tonto_2834B
calculix_2670B
bwaves_1609B
cactusADM_734B
Belady’s MIN Demand-MIN
Figure 5.8: With prefetching, replacement policies face a tradeoff between demand hit rate and
prefetcher traffic; used with permission [Jain and Lin, 2018].
FlexMINgen
Harmony
Predictor
Last Level
Cache
Computes Flex-MIN’s
decisions for the past
Remembers past
Flex-MIN decisions
Cache
Access
Stream
OPT
hit/miss
Insertion
Priority
PC
Figure 5.9: Harmony cache replacement policy; used with permission [Jain and Lin, 2018].
Harmony Harmony is a practical replacement policy that explores the rich design space be-
tween MIN and Demand-MIN by learning from Flex-MIN. Harmonys overall structure (see
Figure 5.9) is similar to Hawkeye’s [Jain and Lin, 2016] (see Section 4.2), but the main dif-
ference is that Harmony replaces OPTgen with FlexMINgen, where FlexMINgen emulates
Flex-MINs solution. Like Hawkeye, Harmonys predictor is also PC-based, except Harmony
has two predictors, one for demand requests and one for prefetch requests.
..................Content has been hidden....................

You can't read the all page of ebook, please click here login for view all page.
Reset